Methods For Forming Films Containing Silicon Boron With Low Leakage Current

YANG; Chuanxi ;   et al.

Patent Application Summary

U.S. patent application number 16/725226 was filed with the patent office on 2020-07-02 for methods for forming films containing silicon boron with low leakage current. The applicant listed for this patent is Applied Materials, Inc.. Invention is credited to Rui CHENG, Zubin HUANG, Karthik JANAKIRAMAN, Sanjay KAMATH, Diwakar N. KEDLAYA, Honggun KIM, Euhngi LEE, Deenesh PADHI, Chuanxi YANG, Hang YU.

Application Number20200211834 16/725226
Document ID /
Family ID71123105
Filed Date2020-07-02

United States Patent Application 20200211834
Kind Code A1
YANG; Chuanxi ;   et al. July 2, 2020

METHODS FOR FORMING FILMS CONTAINING SILICON BORON WITH LOW LEAKAGE CURRENT

Abstract

Methods for forming the silicon boron nitride layer are provided. The method includes positioning a substrate on a pedestal in a process region within a process chamber, heating a pedestal retaining the substrate, and introducing a first flow of a first process gas and a second flow of a second process gas to the process region. The first flow of the first process gas contains silane, ammonia, helium, nitrogen, argon, and hydrogen. The second flow of the second process gas contains diborane and hydrogen. The method also includes forming a plasma concurrently with the first flow of the first process gas and the second flow of the second process gas to the process region and exposing the substrate to the first process gas, the second process gas, and the plasma to deposit the silicon boron nitride layer on the substrate.


Inventors: YANG; Chuanxi; (Los Altos, CA) ; YU; Hang; (Woodland, CA) ; KAMATH; Sanjay; (Fremont, CA) ; PADHI; Deenesh; (Sunnyvale, CA) ; KIM; Honggun; (San Jose, CA) ; LEE; Euhngi; (Santa Clara, CA) ; HUANG; Zubin; (Santa Clara, CA) ; KEDLAYA; Diwakar N.; (San Jose, CA) ; CHENG; Rui; (Santa Clara, CA) ; JANAKIRAMAN; Karthik; (San Jose, CA)
Applicant:
Name City State Country Type

Applied Materials, Inc.

Santa Clara

CA

US
Family ID: 71123105
Appl. No.: 16/725226
Filed: December 23, 2019

Related U.S. Patent Documents

Application Number Filing Date Patent Number
62787666 Jan 2, 2019

Current U.S. Class: 1/1
Current CPC Class: C23C 16/513 20130101; H01L 21/0217 20130101; C23C 16/0209 20130101; H01L 21/02274 20130101; H01L 21/67 20130101; C23C 16/345 20130101
International Class: H01L 21/02 20060101 H01L021/02; C23C 16/34 20060101 C23C016/34; C23C 16/02 20060101 C23C016/02; C23C 16/513 20060101 C23C016/513

Claims



1. A method of forming a silicon boron nitride layer, comprising: positioning a substrate on a pedestal in a process region within a process chamber; heating a pedestal retaining the substrate to a deposition temperature of about 225.degree. C. to about 575.degree. C.; introducing a first flow of a first process gas and a second flow of a second process gas to the process region, wherein: the first flow of the first process gas comprises: silane having a flow rate of about 1 sccm to about 500 sccm, ammonia having a flow rate of about 10 sccm to about 5,000 sccm, helium having a flow rate of about 500 sccm to about 20,000 sccm, nitrogen (N.sub.2) having a flow rate of about 5,000 sccm to about 25,000 sccm, argon having a flow rate of about 50 sccm to about 10,000 sccm, and hydrogen (H.sub.2) having a flow rate of about 50 sccm to about 20,000 sccm, and the second flow of the second process gas comprises: about 2 molar percent (mol %) to about 15 mol % of diborane, about 85 mol % to about 98 mol % of hydrogen (H.sub.2), and a flow rate of about 1 sccm to about 5,000 sccm; forming a plasma concurrently with the first flow of the first process gas and the second flow of the second process gas to the process region; and exposing the substrate to the first process gas, the second process gas, and the plasma to deposit the silicon boron nitride layer on the substrate.

2. The method of claim 1, wherein the silicon boron nitride layer comprises about 10 atomic percent (at %) to about 50 at % of boron.

3. The method of claim 1, wherein the silicon boron nitride layer has a nitrogen to silicon atomic ratio of about 1.05 to about 1.5.

4. The method of claim 1, wherein the silicon boron nitride layer comprises about 5 at % to about 15 at % of hydrogen.

5. The method of claim 1, wherein the silicon boron nitride layer has a leakage current of less than 1.times.10.sup.-9 A/cm.sup.2 at 1.5 MV/cm.

6. The method of claim 1, wherein the silicon boron nitride layer comprises: about 60 at % to about 80 at % of boron bonded to silicon; and about 20 at % to about 40 at % of boron bonded to nitrogen.

7. The method of claim 1, wherein the deposition temperature is about 350.degree. C. to about 560.degree. C.

8. The method of claim 1, wherein the first flow of the first process gas and the second flow of the second process gas are combined to produce a third flow of a third process gas prior to being introduced into the process region.

9. The method of claim 8, wherein the third flow of the third process gas is maintained at a temperature of about 20.degree. C. to less than 165.degree. C.

10. The method of claim 1, wherein the first flow of the first process gas comprises: the silane having a flow rate of about 10 sccm to about 250 sccm, the ammonia having a flow rate of about 50 sccm to about 2,000 sccm, the helium having a flow rate of about 750 sccm to about 15,000 sccm, the nitrogen having a flow rate of about 10,000 sccm to about 20,000 sccm, the argon having a flow rate of about 200 sccm to about 7,500 sccm, and the hydrogen having a flow rate of about 200 sccm to about 15,000 sccm.

11. The method of claim 1, wherein the second flow of the second process gas comprises: about 3 mol % to about 12 mol % of the diborane, about 88 mol % to about 97 mol % of the hydrogen, and a flow rate of about 5 sccm to about 2,000 sccm.

12. The method of claim 1, further comprising maintaining the process region at a pressure of about 2 Torr to about 8 Torr.

13. The method of claim 1, wherein the pedestal is positioned at a process distance between the pedestal and a showerhead of the process chamber, and wherein the process distance is about 200 mil to about 1,000 mil.

14. The method of claim 1, wherein the silicon boron nitride layer is located in a capacitor device disposed on the substrate.

15. The method of claim 14, wherein the silicon boron nitride layer is a supporter layer or a stopper layer of the capacitor device.

16. The method of claim 1, wherein the silicon boron nitride layer has a thickness of about 50 .ANG. to about 800 .ANG..

17. The method of claim 1, further comprising: generating the plasma in a remote plasma system disposed outside of the process chamber; and transferring the plasma into the process region while depositing the silicon boron nitride layer on the substrate.

18. A method of forming a silicon boron nitride layer, comprising: positioning a substrate on a pedestal in a process region within a process chamber; introducing a first flow of a first process gas and a second flow of a second process gas to the process region, wherein: the first flow of the first process gas comprises a silicon-containing precursor, a nitrogen-containing precursor, hydrogen (H.sub.2), and at least two gases selected from the group consisting of argon, helium, nitrogen (N.sub.2), and any combination thereof, and the second flow of the second process gas comprises: about 2 molar percent (mol %) to about 15 mol % of diborane, about 85 mol % to about 98 mol % of hydrogen (H.sub.2), and a flow rate of about 1 sccm to about 5,000 sccm; forming a plasma concurrently with the first flow of the first process gas and the second flow of the second process gas to the process region; and exposing the substrate to the first process gas, the second process gas, and the plasma to deposit the silicon boron nitride layer on the substrate, wherein the silicon boron nitride layer comprises about 10 atomic percent (at %) to about 50 at % of boron, wherein the silicon boron nitride layer has a nitrogen to silicon atomic ratio of about 1.05 to about 1.5, and wherein the silicon boron nitride layer has a leakage current of less than 1.times.10.sup.-9 A/cm.sup.2 at 1.5 MV/cm.

19. The method of claim 18, wherein the silicon boron nitride layer comprises about 20 at % to about 35 at % of boron, wherein the silicon boron nitride layer has a nitrogen to silicon atomic ratio of about 1.1 to about 1.4, and wherein the silicon boron nitride layer has a leakage current of about 5.times.10.sup.-11 A/cm.sup.2 to about 9.9.times.10.sup.-10 A/cm.sup.2 at 1.5 MV/cm.

20. A method of forming a silicon boron nitride layer, comprising: positioning a substrate on a pedestal in a process region within a process chamber; heating a pedestal retaining the substrate to a deposition temperature of about 225.degree. C. to about 575.degree. C.; maintaining the process region at a pressure of about 2 Torr to about 8 Torr; introducing a first flow of a first process gas to the process region, wherein the first flow of the first process gas comprises: silane having a flow rate of about 1 sccm to about 500 sccm, ammonia having a flow rate of about 10 sccm to about 5,000 sccm, helium having a flow rate of about 500 sccm to about 20,000 sccm, nitrogen (N.sub.2) having a flow rate of about 5,000 sccm to about 25,000 sccm, argon having a flow rate of about 50 sccm to about 10,000 sccm, and hydrogen (H.sub.2) having a flow rate of about 50 sccm to about 20,000 sccm; discontinuing the first flow of the first process gases; forming a plasma concurrently with a second flow of a second process gas to the process region, wherein the second flow of the second process gas has a flow rate of about 1 sccm to about 5,000 sccm and comprises about 2 molar percent (mol %) to about 15 mol % of diborane and about 85 mol % to about 98 mol % of hydrogen (H.sub.2); and forming the silicon boron nitride layer on the substrate.
Description



CROSS-REFERENCE TO RELATED APPLICATIONS

[0001] This application claims benefit of U.S. Appl. No. 62/787,666, filed on Jan. 2, 2019, which herein is incorporated by reference.

BACKGROUND

Field

[0002] Embodiments of the present disclosure generally relate to deposition processes, and more specifically relate to methods for forming films containing silicon boron nitride (SiBN).

Description of the Related Art

[0003] In semiconductor manufacturing, various devices may be formed. Such devices include dynamic random-access memory (DRAM) devices having silicon and nitrogen containing stopper and supporter layers. For many DRAM devices, there is a need to fill the silicon and nitrogen containing layer to also contain boron. However, silicon boron nitride containing layers generally have unfavorable thermal budgets and high leakage current values. The high thermal budget increases boron diffusion during additional DRAM device forming processes, such as wet etching, causing deformation and the high leakage current results in results in electrical short circuiting between the capacitors of DRAM devices.

[0004] Therefore, there is a need for improved silicon boron nitride layers and methods for forming silicon boron nitride layers having a relatively high boron concentration and a relatively low leakage current.

SUMMARY

[0005] Embodiments of the present disclosure generally relate to improved silicon boron nitride layers and methods for forming silicon boron nitride layers having a relatively high boron concentration and a relatively low leakage current. In some examples, the silicon boron nitride layer is a supporter layer and/or a stopper layer within a capacitor or other electronic device.

[0006] In one or more embodiments, a method for forming a silicon boron nitride layer is provided and includes positioning a substrate on a pedestal in a process region within a process chamber, heating a pedestal retaining the substrate to a deposition temperature of about 225.degree. C. to about 575.degree. C., and introducing a first flow of a first process gas and a second flow of a second process gas to the process region. The first flow of the first process gas contains silane having a flow rate of about 1 sccm to about 500 sccm, ammonia having a flow rate of about 10 sccm to about 5,000 sccm, helium having a flow rate of about 500 sccm to about 20,000 sccm, nitrogen (N.sub.2) having a flow rate of about 5,000 sccm to about 25,000 sccm, argon having a flow rate of about 50 sccm to about 10,000 sccm, and hydrogen (H.sub.2) having a flow rate of about 50 sccm to about 20,000 sccm. The second flow of the second process gas contains about 2 molar percent (mol %) to about 15 mol % of diborane, about 85 mol % to about 98 mol % of hydrogen, and a flow rate of about 1 sccm to about 5,000 sccm. The method also includes forming a plasma concurrently with the first flow of the first process gas and the second flow of the second process gas to the process region and exposing the substrate to the first process gas, the second process gas, and the plasma to deposit the silicon boron nitride layer on the substrate.

[0007] In other embodiments, a method for forming a silicon boron nitride layer is provided and includes positioning a substrate on a pedestal in a process region within a process chamber and introducing a first flow of a first process gas and a second flow of a second process gas to the process region. The first flow of the first process gas contains a silicon-containing precursor, a nitrogen-containing precursor, hydrogen, and at least two gases selected from the group consisting of argon, helium, nitrogen, and any combination thereof. The second flow of the second process gas contains about 2 mol % to about 15 mol % of diborane, about 85 mol % to about 98 mol % of hydrogen, and a flow rate of about 1 sccm to about 5,000 sccm. The method also includes forming a plasma concurrently with the first flow of the first process gas and the second flow of the second process gas to the process region and exposing the substrate to the first process gas, the second process gas, and the plasma to deposit the silicon boron nitride layer on the substrate. The silicon boron nitride layer contains about 10 atomic percent (at %) to about 50 at % of boron, has a nitrogen to silicon atomic ratio of about 1.05 to about 1.5, and has a leakage current of less than 1.times.10.sup.-9 A/cm.sup.2 at 1.5 MV/cm.

[0008] In some embodiments, a method for forming a silicon boron nitride layer is provided and includes positioning a substrate on a pedestal in a process region within a process chamber, heating a pedestal retaining the substrate to a deposition temperature of about 225.degree. C. to about 575.degree. C., maintaining the process region at a pressure of about 2 Torr to about 8 Torr, and introducing a first flow of a first process gas to the process region. The first flow of the first process gas contains silane having a flow rate of about 1 sccm to about 500 sccm, ammonia having a flow rate of about 10 sccm to about 5,000 sccm, helium having a flow rate of about 500 sccm to about 20,000 sccm, nitrogen having a flow rate of about 5,000 sccm to about 25,000 sccm, argon having a flow rate of about 50 sccm to about 10,000 sccm, and hydrogen having a flow rate of about 50 sccm to about 20,000 sccm. The method further includes discontinuing the first flow of the first process gases, forming a plasma concurrently with a second flow of a second process gas to the process region, and forming the silicon boron nitride layer on the substrate. The second flow of the second process gas has a flow rate of about 1 sccm to about 5,000 sccm and contains about 2 mol % to about 15 mol % of diborane and about 85 mol % to about 98 mol % of hydrogen.

BRIEF DESCRIPTION OF THE DRAWINGS

[0009] So that the manner in which the above recited features of the present disclosure can be understood in detail, a more particular description of the disclosure, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only exemplary embodiments and are therefore not to be considered limiting of its scope, and may admit to other equally effective embodiments.

[0010] FIG. 1 depicts a schematic cross-sectional view of a process chamber, according to one or more embodiments described and discussed herein.

[0011] FIG. 2 depicts a schematic cross-sectional view of another process chamber, according to one or more embodiments described and discussed herein.

[0012] FIG. 3 is a flow diagram of a method of forming a silicon boron nitride layer, according to one or more embodiments described and discussed herein.

[0013] FIG. 4 depicts a capacitor device containing silicon boron nitride layers which can be deposited or otherwise produced by methods according to one or more embodiments described and discussed herein.

[0014] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.

DETAILED DESCRIPTION

[0015] Embodiments of the present disclosure generally relate to improved silicon boron nitride layers and methods for forming silicon boron nitride layers. These silicon boron nitride materials and layers have relatively high boron concentrations and low leakage currents, as well as other properties which are applicable for use in electronic devices, such as capacitors. For example, the silicon boron nitride layers described and discussed here can be used as supporter layers and/or stopper layers within a capacitor or other electronic device. The silicon boron nitride layer can have a boron concentration of about 10 atomic percent (at %) to about 50 at %, such as about 20 at % to about 40 at % and a leakage current of less than 1.times.10.sup.-9 A/cm.sup.2 at 1.5 MV/cm.

[0016] In one or more embodiments, methods for forming the silicon boron nitride layer includes positioning the substrate on a pedestal in a process region within a process chamber, heating a pedestal retaining the substrate to a deposition temperature, and introducing a first flow of a first process gas and a second flow of a second process gas to the process region. In some embodiments, a plasma is concurrently ignited or otherwise formed with the first flow of the first process gas and the second flow of the second process gas to the process region. The plasma can be generated remotely of the process chamber or in-situ the process chamber. The substrate is exposed to the first process gas, the second process gas, and the plasma to deposit the silicon boron nitride layer on the substrate during a plasma enhanced chemical vapor deposition (PE-CVD) process.

[0017] The pedestal retaining the substrate disposed thereon is heated to a deposition temperature of about 225.degree. C., about 250.degree. C., about 300.degree. C., about 350.degree. C., about 400.degree. C., or about 450.degree. C. to about 475.degree. C., about 500.degree. C., about 525.degree. C., about 550.degree. C., about 560.degree. C., about 570.degree. C., about 575.degree. C., about 580.degree. C., or about 600.degree. C. during the PE-CVD process. For example, the substrate is heated to a deposition temperature of about 225.degree. C. to about 600.degree. C., about 225.degree. C. to about 575.degree. C., about 225.degree. C. to about 560.degree. C., about 225.degree. C. to about 550.degree. C., about 225.degree. C. to about 500.degree. C., about 225.degree. C. to about 450.degree. C., about 225.degree. C. to about 400.degree. C., about 225.degree. C. to about 350.degree. C., about 225.degree. C. to about 300.degree. C., about 350.degree. C. to about 600.degree. C., about 350.degree. C. to about 575.degree. C., about 350.degree. C. to about 560.degree. C., about 350.degree. C. to about 550.degree. C., about 350.degree. C. to about 500.degree. C., about 350.degree. C. to about 450.degree. C., about 350.degree. C. to about 400.degree. C., about 350.degree. C. to about 375.degree. C., about 450.degree. C. to about 600.degree. C., about 450.degree. C. to about 575.degree. C., about 450.degree. C. to about 560.degree. C., about 450.degree. C. to about 550.degree. C., about 450.degree. C. to about 500.degree. C., or about 450.degree. C. to about 475.degree. C.

[0018] The process region is maintained at a pressure of less than 100 Torr, less than 50 Torr, less than 20 Torr, or less than 10 Torr during the PE-CVD process. The process region is maintained at a pressure of about 0.5 Torr, about 1 Torr, about 2 Torr, about 3 Torr, or about 4 Torr to about 5 Torr, about 6 Torr, about 7 Torr, about 8 Torr, or about 9 Torr. For example, the process region is maintained at a pressure of about 0.5 Torr to less than 10 Torr, about 2 Torr to less than 10 Torr, about 2 Torr to about 8 Torr, about 2 Torr to about 6 Torr, about 2 Torr to about 5 Torr, about 2 Torr to about 4 Torr, about 3 Torr to less than 10 Torr, about 3 Torr to about 8 Torr, about 3 Torr to about 6 Torr, about 3 Torr to about 5 Torr, about 3 Torr to about 4 Torr, about 4 Torr to less than 10 Torr, about 4 Torr to about 8 Torr, about 4 Torr to about 6 Torr, or about 4 Torr to about 5 Torr.

[0019] The pedestal is positioned at a process distance which is the distance between the pedestal and the showerhead within the process chamber during the PE-CVD process. The process distance is about 100 mil (about 2.5 millimeter (mm)), about 200 mil (about 5 mm), about 300 mil (about 7.5 mm), or about 400 mil (about 10 mm) to about 500 mil (about 12.5 mm), about 600 mil (about 15 mm), about 800 mil (about 20 mm), about 1,000 mil (about 25.4 mm), about 2,000 mil (about 50.8 mm), or about 5,000 mil (about 127 mm). For example, the process distance is about 100 mil to about 5,000 mil, about 100 mil to about 2,000 mil, about 100 mil to about 1,000 mil, about 100 mil to about 800 mil, about 100 mil to about 600 mil, about 100 mil to about 500 mil, about 100 mil to about 400 mil, about 100 mil to about 300 mil, about 300 mil to about 2,000 mil, about 300 mil to about 1,000 mil, about 300 mil to about 800 mil, about 300 mil to about 600 mil, about 300 mil to about 500 mil, or about 300 mil to about 400 mil.

[0020] The first flow of the first process gas contains one or more silicon-containing precursors, one or more nitrogen-containing precursors, hydrogen (H.sub.2), and at least two process or carrier gases, selected from argon, helium, nitrogen (N.sub.2), or any combination thereof. Exemplary silicon-containing precursors can be or include silane, disilane, trisilane, tetrasilane, or any combination thereof. Exemplary nitrogen-containing precursors can be or include ammonia, hydrazine, one or more alkylamines (e.g., dimethylamine), or any combination thereof. In one or more examples, the first process gas contains silane, ammonia, hydrogen (H.sub.2), argon, helium, and nitrogen (N.sub.2).

[0021] The first flow of the first process gas contains a silicon-containing precursor (e.g., silane) having a flow rate of about 1 sccm, about 5 sccm, about 10 sccm, about 20 sccm, about 30 sccm, or about 50 sccm to about 80 sccm, about 100 sccm, about 150 sccm, about 200 sccm, about 250 sccm, about 300 sccm, about 400 sccm, about 500 sccm, about 800 sccm, about 1,000 sccm, about 1,500 sccm, or about 2,000 sccm. For example, the first flow of the first process gas contains a silicon-containing precursor (e.g., silane) having a flow rate of about 1 sccm to about 2,000 sccm, about 1 sccm to about 1,000 sccm, about 1 sccm to about 500 sccm, about 1 sccm to about 250 sccm, about 1 sccm to about 100 sccm, about 1 sccm to about 50 sccm, about 10 sccm to about 2,000 sccm, about 10 sccm to about 1,000 sccm, about 10 sccm to about 500 sccm, about 10 sccm to about 250 sccm, about 10 sccm to about 100 sccm, about 10 sccm to about 50 sccm, about 20 sccm to about 2,000 sccm, about 20 sccm to about 1,000 sccm, about 20 sccm to about 500 sccm, about 20 sccm to about 250 sccm, about 20 sccm to about 100 sccm, or about 20 sccm to about 50 sccm.

[0022] The first flow of the first process gas contains a nitrogen-containing precursor (e.g., ammonia) having a flow rate of about 1 sccm, about 10 sccm, about 50 sccm, about 80 sccm, about 100 sccm, about 150 sccm, about 200 sccm, about 250 sccm, about 300 sccm, about 500 sccm, or about 800 sccm to about 1,000 sccm, about 1,500 sccm, about 2,000 sccm, about 2,500 sccm, about 3,000 sccm, about 4,000 sccm, about 5,000 sccm, about 7,000 sccm, about 8,500 sccm, or about 10,000 sccm. For example, the first flow of the first process gas contains a nitrogen-containing precursor (e.g., ammonia) having a flow rate of about 1 sccm to about 10,000 sccm, about 10 sccm to about 10,000 sccm, about 10 sccm to about 5,000 sccm, about 10 sccm to about 4,000 sccm, about 10 sccm to about 3,000 sccm, about 10 sccm to about 2,000 sccm, about 10 sccm to about 1,500 sccm, about 10 sccm to about 1,000 sccm, about 10 sccm to about 800 sccm, about 10 sccm to about 500 sccm, about 10 sccm to about 300 sccm, about 50 sccm to about 10,000 sccm, about 50 sccm to about 5,000 sccm, about 50 sccm to about 4,000 sccm, about 50 sccm to about 3,000 sccm, about 50 sccm to about 2,000 sccm, about 50 sccm to about 1,500 sccm, about 50 sccm to about 1,000 sccm, about 50 sccm to about 800 sccm, about 50 sccm to about 500 sccm, about 50 sccm to about 300 sccm, about 100 sccm to about 10,000 sccm, about 100 sccm to about 5,000 sccm, about 100 sccm to about 4,000 sccm, about 100 sccm to about 3,000 sccm, about 100 sccm to about 2,000 sccm, about 100 sccm to about 1,500 sccm, about 100 sccm to about 1,000 sccm, about 100 sccm to about 800 sccm, about 100 sccm to about 500 sccm, or about 100 sccm to about 300 sccm.

[0023] The first flow of the first process gas contains helium having a flow rate of about 100 sccm, about 500 sccm, about 750 sccm, or about 1,000 sccm to about 1,500 sccm, about 2,000 sccm, about 5,000 sccm, about 8,000 sccm, about 10,000 sccm, about 15,000 sccm, about 20,000 sccm, about 30,000 sccm, about 40,000 sccm, or about 50,000 sccm. For example, the first flow of the first process gas contains helium having a flow rate of about 100 sccm to about 50,000 sccm, about 500 sccm to about 50,000 sccm, about 500 sccm to about 40,000 sccm, about 500 sccm to about 20,000 sccm, about 500 sccm to about 15,000 sccm, about 500 sccm to about 12,000 sccm, about 500 sccm to about 10,000 sccm, about 500 sccm to about 8,000 sccm, about 500 sccm to about 5,000 sccm, about 500 sccm to about 1,000 sccm, about 750 sccm to about 50,000 sccm, about 750 sccm to about 40,000 sccm, about 750 sccm to about 20,000 sccm, about 750 sccm to about 15,000 sccm, about 750 sccm to about 12,000 sccm, about 750 sccm to about 10,000 sccm, about 750 sccm to about 8,000 sccm, about 750 sccm to about 5,000 sccm, about 750 sccm to about 1,000 sccm, about 1,000 sccm to about 50,000 sccm, about 1,000 sccm to about 40,000 sccm, about 1,000 sccm to about 20,000 sccm, about 1,000 sccm to about 15,000 sccm, about 1,000 sccm to about 12,000 sccm, about 1,000 sccm to about 10,000 sccm, about 1,000 sccm to about 8,000 sccm, about 1,000 sccm to about 5,000 sccm, about 1,000 sccm to about 3,000 sccm, about 5,000 sccm to about 50,000 sccm, about 5,000 sccm to about 40,000 sccm, about 5,000 sccm to about 30,000 sccm, about 5,000 sccm to about 22,000 sccm, about 5,000 sccm to about 20,000 sccm, about 5,000 sccm to about 18,000 sccm, about 5,000 sccm to about 15,000 sccm, about 5,000 sccm to about 12,000 sccm, about 5,000 sccm to about 10,000 sccm, or about 5,000 sccm to about 8,000 sccm.

[0024] The first flow of the first process gas contains nitrogen (N.sub.2) having a flow rate of about 100 sccm, about 500 sccm, about 1,000 sccm, about 1,500 sccm, about 2,000 sccm, about 3,500 sccm, about 5,000 sccm, about 8,000 sccm, about 10,000 sccm, about 12,000 sccm, or about 15,000 sccm to about 18,000 sccm, about 20,000 sccm, about 22,000 sccm, about 25,000 sccm, about 30,000 sccm, about 35,000 sccm, about 40,000 sccm, or about 50,000 sccm. For example, the first flow of the first process gas contains nitrogen having a flow rate of about 100 sccm to about 50,000 sccm, about 500 sccm to about 50,000 sccm, about 500 sccm to about 40,000 sccm, about 500 sccm to about 20,000 sccm, about 500 sccm to about 15,000 sccm, about 500 sccm to about 12,000 sccm, about 500 sccm to about 10,000 sccm, about 500 sccm to about 8,000 sccm, about 500 sccm to about 5,000 sccm, about 500 sccm to about 1,000 sccm, about 1,000 sccm to about 50,000 sccm, about 1,000 sccm to about 40,000 sccm, about 1,000 sccm to about 30,000 sccm, about 1,000 sccm to about 25,000 sccm, about 1,000 sccm to about 22,000 sccm, about 1,000 sccm to about 20,000 sccm, about 1,000 sccm to about 15,000 sccm, about 1,000 sccm to about 12,000 sccm, about 1,000 sccm to about 10,000 sccm, about 1,000 sccm to about 8,000 sccm, about 1,000 sccm to about 5,000 sccm, about 1,000 sccm to about 3,000 sccm, about 5,000 sccm to about 50,000 sccm, about 5,000 sccm to about 40,000 sccm, about 5,000 sccm to about 30,000 sccm, about 5,000 sccm to about 25,000 sccm, about 5,000 sccm to about 22,000 sccm, about 5,000 sccm to about 20,000 sccm, about 5,000 sccm to about 18,000 sccm, about 5,000 sccm to about 15,000 sccm, about 5,000 sccm to about 12,000 sccm, about 5,000 sccm to about 10,000 sccm, about 5,000 sccm to about 8,000 sccm, about 10,000 sccm to about 50,000 sccm, about 10,000 sccm to about 40,000 sccm, about 10,000 sccm to about 30,000 sccm, about 10,000 sccm to about 25,000 sccm, about 10,000 sccm to about 22,000 sccm, about 10,000 sccm to about 20,000 sccm, about 10,000 sccm to about 18,000 sccm, about 10,000 sccm to about 15,000 sccm, about 10,000 sccm to about 12,000 sccm, about 12,000 sccm to about 50,000 sccm, about 12,000 sccm to about 40,000 sccm, about 12,000 sccm to about 30,000 sccm, about 12,000 sccm to about 25,000 sccm, about 12,000 sccm to about 22,000 sccm, about 12,000 sccm to about 20,000 sccm, about 12,000 sccm to about 18,000 sccm, or about 12,000 sccm to about 15,000 sccm.

[0025] The first flow of the first process gas contains argon having a flow rate of about 50 sccm, about 100 sccm, about 200 sccm, about 300 sccm, about 500 sccm, about 750 sccm, about 1,000 sccm, about 1,500 sccm, about 2,000 sccm, about 3,000 sccm, about 4,000 sccm, or about 5,000 sccm to about 6,000 sccm, about 7,500 sccm, about 8,000 sccm, about 10,000 sccm, about 12,000 sccm, about 15,000 sccm, about 20,000 sccm, about 30,000 sccm, about 40,000 sccm, or about 50,000 sccm. For example, the first flow of the first process gas contains argon having a flow rate of about 50 sccm to about 50,000 sccm, about 50 sccm to about 30,000 sccm, about 50 sccm to about 25,000 sccm, about 50 sccm to about 20,000 sccm, about 50 sccm to about 15,000 sccm, about 50 sccm to about 12,000 sccm, about 50 sccm to about 10,000 sccm, about 50 sccm to about 7,500 sccm, about 50 sccm to about 6,000 sccm, about 50 sccm to about 5,000 sccm, about 50 sccm to about 3,000 sccm, about 50 sccm to about 1,000 sccm, about 200 sccm to about 50,000 sccm, about 200 sccm to about 30,000 sccm, about 200 sccm to about 25,000 sccm, about 200 sccm to about 20,000 sccm, about 200 sccm to about 15,000 sccm, about 200 sccm to about 12,000 sccm, about 200 sccm to about 10,000 sccm, about 200 sccm to about 7,500 sccm, about 200 sccm to about 6,000 sccm, about 200 sccm to about 5,000 sccm, about 200 sccm to about 3,000 sccm, about 200 sccm to about 1,000 sccm, about 500 sccm to about 50,000 sccm, about 500 sccm to about 30,000 sccm, about 500 sccm to about 25,000 sccm, about 500 sccm to about 20,000 sccm, about 500 sccm to about 15,000 sccm, about 500 sccm to about 12,000 sccm, about 500 sccm to about 10,000 sccm, about 500 sccm to about 7,500 sccm, about 500 sccm to about 6,000 sccm, about 500 sccm to about 5,000 sccm, about 500 sccm to about 3,000 sccm, or about 500 sccm to about 1,000 sccm.

[0026] The first flow of the first process gas contains hydrogen (H.sub.2) having a flow rate of about 50 sccm, about 100 sccm, about 200 sccm, about 300 sccm, about 500 sccm, about 750 sccm, about 1,000 sccm, about 1,500 sccm, about 2,000 sccm, about 3,000 sccm, about 4,000 sccm, or about 5,000 sccm to about 6,000 sccm, about 7,500 sccm, about 8,000 sccm, about 10,000 sccm, about 12,000 sccm, about 15,000 sccm, about 20,000 sccm, about 30,000 sccm, about 40,000 sccm, or about 50,000 sccm. For example, the first flow of the first process gas contains hydrogen having a flow rate of about 50 sccm to about 50,000 sccm, about 50 sccm to about 30,000 sccm, about 50 sccm to about 25,000 sccm, about 50 sccm to about 20,000 sccm, about 50 sccm to about 15,000 sccm, about 50 sccm to about 12,000 sccm, about 50 sccm to about 10,000 sccm, about 50 sccm to about 7,500 sccm, about 50 sccm to about 6,000 sccm, about 50 sccm to about 5,000 sccm, about 50 sccm to about 3,000 sccm, about 50 sccm to about 1,000 sccm, about 200 sccm to about 50,000 sccm, about 200 sccm to about 30,000 sccm, about 200 sccm to about 25,000 sccm, about 200 sccm to about 20,000 sccm, about 200 sccm to about 15,000 sccm, about 200 sccm to about 12,000 sccm, about 200 sccm to about 10,000 sccm, about 200 sccm to about 7,500 sccm, about 200 sccm to about 6,000 sccm, about 200 sccm to about 5,000 sccm, about 200 sccm to about 3,000 sccm, about 200 sccm to about 1,000 sccm, about 500 sccm to about 50,000 sccm, about 500 sccm to about 30,000 sccm, about 500 sccm to about 25,000 sccm, about 500 sccm to about 20,000 sccm, about 500 sccm to about 15,000 sccm, about 500 sccm to about 12,000 sccm, about 500 sccm to about 10,000 sccm, about 500 sccm to about 7,500 sccm, about 500 sccm to about 6,000 sccm, about 500 sccm to about 5,000 sccm, about 500 sccm to about 3,000 sccm, or about 500 sccm to about 1,000 sccm.

[0027] In one or more examples, the first flow of the first process gas contains silane having a flow rate of about 1 sccm to about 500 sccm, ammonia having a flow rate of about 10 sccm to about 5,000 sccm, helium having a flow rate of about 500 sccm to about 20,000 sccm, nitrogen (N.sub.2) having a flow rate of about 5,000 sccm to about 25,000 sccm, argon having a flow rate of about 50 sccm to about 10,000 sccm, and hydrogen (H.sub.2) having a flow rate of about 50 sccm to about 20,000 sccm. In other examples, the first flow of the first process gas contains the silane having a flow rate of about 10 sccm to about 250 sccm, the ammonia having a flow rate of about 50 sccm to about 2,000 sccm, the helium having a flow rate of about 750 sccm to about 15,000 sccm, the nitrogen having a flow rate of about 10,000 sccm to about 20,000 sccm, the argon having a flow rate of about 200 sccm to about 7,500 sccm, and the hydrogen having a flow rate of about 200 sccm to about 15,000 sccm. In some examples, the first flow of the first process gas contains the silane having a flow rate of about 20 sccm to about 100 sccm, the ammonia having a flow rate of about 100 sccm to about 1,000 sccm, the helium having a flow rate of about 1,000 sccm to about 10,000 sccm, the nitrogen having a flow rate of about 12,000 sccm to about 18,000 sccm, the argon having a flow rate of about 500 sccm to about 5,000 sccm, and the hydrogen having a flow rate of about 500 sccm to about 10,000 sccm.

[0028] In one or more embodiments, the second process gas contains one or more boron-containing precursors (e.g., diborane) and hydrogen (H.sub.2). In some examples, the second process gas contains about 20 mol % or less of diborane and the remainder is hydrogen. The second process gas contains the boron-containing precursors (e.g., diborane) at a concentration of about 1 molar percent (mol %), about 2 mol %, about 3 mol %, about 4 mol %, or about 5 mol % to about 6 mol %, about 8 mol %, about 10 mol %, about 12 mol %, about 15 mol %, or about 20 mol %. For example, the second process gas contains the boron-containing precursors (e.g., diborane) at a concentration of about 2 mol % to about 20 mol %, about 2 mol % to about 15 mol %, about 2 mol % to about 12 mol %, about 2 mol % to about 10 mol %, about 2 mol % to about 8 mol %, about 2 mol % to about 5 mol %, about 2 mol % to about 3 mol %, about 3 mol % to about 20 mol %, about 3 mol % to about 15 mol %, about 3 mol % to about 12 mol %, about 3 mol % to about 10 mol %, about 3 mol % to about 8 mol %, about 3 mol % to about 5 mol %, about 5 mol % to about 20 mol %, about 5 mol % to about 15 mol %, about 5 mol % to about 12 mol %, about 5 mol % to about 10 mol %, or about 5 mol % to about 8 mol %.

[0029] The second process gas contains the hydrogen (H.sub.2) at a concentration of about 80 mol %, about 85 mol %, about 88 mol %, about 90 mol %, about 92 mol %, about 94 mol %, or about 95 mol % to about 96 mol %, about 97 mol %, about 98 mol %, or about 99 mol %. For example, the second process gas contains hydrogen at a concentration of about 80 mol % to about 99 mol %, about 80 mol % to about 95 mol %, about 80 mol % to about 92 mol %, about 80 mol % to about 90 mol %, about 80 mol % to about 88 mol %, about 80 mol % to about 85 mol %, about 85 mol % to about 99 mol %, about 85 mol % to about 98 mol %, about 85 mol % to about 95 mol %, about 85 mol % to about 92 mol %, about 85 mol % to about 90 mol %, about 85 mol % to about 88 mol %, about 88 mol % to about 97 mol %, about 90 mol % to about 99 mol %, about 90 mol % to about 95 mol %, about 90 mol % to about 92 mol %, or about 95 mol % to about 99 mol %.

[0030] In one or more examples, the second flow of the second process gas has a flow rate of about 1 sccm, about 5 sccm, about 10 sccm, about 20 sccm, about 35 sccm, about 50 sccm, about 65 sccm, about 80 sccm, or about 100 sccm to about 150 sccm, about 200 sccm, about 300 sccm, about 500 sccm, about 800 sccm, about 1,000 sccm, about 1,500 sccm, about 2,000 sccm, about 3,000 sccm, about 4.00 sccm, or about 5,000 sccm. For example, the second flow of the second process gas has a flow rate of about 1 sccm to about 5,000 sccm, about 1 sccm to about 3,000 sccm, about 1 sccm to about 2,000 sccm, about 1 sccm to about 1,000 sccm, about 1 sccm to about 500 sccm, about 1 sccm to about 300 sccm, about 1 sccm to about 200 sccm, about 1 sccm to about 100 sccm, about 1 sccm to about 50 sccm, about 5 sccm to about 5,000 sccm, about 5 sccm to about 3,000 sccm, about 5 sccm to about 2,000 sccm, about 5 sccm to about 1,000 sccm, about 5 sccm to about 500 sccm, about 5 sccm to about 300 sccm, about 5 sccm to about 200 sccm, about 5 sccm to about 100 sccm, about 5 sccm to about 50 sccm, about 10 sccm to about 5,000 sccm, about 10 sccm to about 3,000 sccm, about 10 sccm to about 2,000 sccm, about 10 sccm to about 1,000 sccm, about 10 sccm to about 500 sccm, about 10 sccm to about 300 sccm, about 10 sccm to about 200 sccm, about 10 sccm to about 100 sccm, or about 10 sccm to about 50 sccm.

[0031] In one or more examples, the second process gas contains about 2 mol % to about 15 mol % of diborane and about 85 mol % to about 98 mol % of hydrogen, and has a flow rate of about 1 sccm to about 5,000 sccm. In other examples, the second process gas contains about 3 mol % to about 12 mol % of diborane and about 88 mol % to about 97 mol % of hydrogen, and has a flow rate of about 5 sccm to about 2,000 sccm. In some examples, the second process gas contains about 5 mol % to about 10 mol % of diborane and about 90 mol % to about 95 mol % of hydrogen, and has a flow rate of about 10 sccm to about 1,000 sccm.

Properties of the Silicon Nitride Layer or Material

[0032] The silicon boron nitride layer contains at least boron, silicon, nitrogen, and hydrogen. In some examples, the silicon boron nitride layer contains more nitrogen than silicon, more silicon than boron, and more boron than hydrogen. In one or more embodiments, the silicon boron nitride layer can have a boron concentration of about 10 atomic percent (at %), about 12 at %, about 15 at %, or about 18 at % to about 20 at %, about 22 at %, about 25 at %, about 28 at %, about 30 at %, about 35 at %, about 40 at %, about 45 at %, or about 50 at %. For example, the silicon boron nitride layer can have a boron concentration of about 10 at % to about 50 at %, about 10 at % to about 45 at %, about 10 at % to about 40 at %, about 10 at % to about 35 at %, about 10 at % to about 30 at %, about 10 at % to about 28 at %, about 10 at % to about 25 at %, about 10 at % to about 22 at %, about 10 at % to about 20 at %, about 10 at % to about 18 at %, about 12 at % to about 45 at %, about 12 at % to about 40 at %, about 12 at % to about 30 at %, about 15 at % to about 50 at %, about 15 at % to about 45 at %, about 15 at % to about 40 at %, about 15 at % to about 35 at %, about 15 at % to about 30 at %, about 15 at % to about 28 at %, about 15 at % to about 25 at %, about 15 at % to about 22 at %, about 15 at % to about 20 at %, about 15 at % to about 18 at %, about 20 at % to about 50 at %, about 20 at % to about 45 at %, about 20 at % to about 40 at %, about 20 at % to about 35 at %, about 20 at % to about 30 at %, about 20 at % to about 28 at %, about 20 at % to about 25 at %, or about 20 at % to about 22 at %.

[0033] The silicon boron nitride layer can have a hydrogen concentration of about 1 at %, about 2 at %, about 3 at %, about 4 at %, about 5 at %, or about 6 at % to about 7 at %, about 8 at %, about 10 at %, about 12 at %, about 15 at %, about 18 at %, or about 20 at %. For example, the silicon boron nitride layer can have a hydrogen concentration of about 1 at % to about 20 at %, about 1 at % to about 15 at %, about 2 at % to about 15 at %, about 3 at % to about 15 at %, about 5 at % to about 15 at %, about 6 at % to about 15 at %, about 8 at % to about 15 at %, about 10 at % to about 15 at %, about 12 at % to about 15 at %, about 1 at % to about 10 at %, about 2 at % to about 10 at %, about 3 at % to about 10 at %, about 5 at % to about 10 at %, about 6 at % to about 10 at %, or about 8 at % to about 10 at %.

[0034] The silicon boron nitride layer can have a nitrogen concentration of about 20 at %, about 22 at %, about 25 at %, about 28 at %, or about 30 at % to about 32 at %, about 35 at %, about 38 at %, about 40 at %, about 42 at %, about 45 at %, about 48 at %, or about 50 at %. For example, the silicon boron nitride layer can have a nitrogen concentration of about 20 at % to about 50 at %, about 20 at % to about 40 at %, about 20 at % to about 35 at %, about 20 at % to about 30 at %, about 20 at % to about 25 at %, about 25 at % to about 50 at %, about 25 at % to about 40 at %, about 25 at % to about 35 at %, about 25 at % to about 30 at %, about 25 at % to about 28 at %, about 30 at % to about 50 at %, about 30 at % to about 40 at %, about 30 at % to about 35 at %, or about 30 at % to about 32 at %.

[0035] The silicon boron nitride layer can have a silicon concentration of about 18 at %, about 20 at %, about 22 at %, about 25 at %, about 28 at %, or about 30 at % to about 32 at %, about 35 at %, about 38 at %, about 40 at %, about 42 at %, or about 45 at %. For example, the silicon boron nitride layer can have a silicon concentration of about 18 at % to about 45 at %, about 18 at % to about 40 at %, about 18 at % to about 35 at %, about 18 at % to about 30 at %, about 18 at % to about 25 at %, about 25 at % to about 45 at %, about 25 at % to about 40 at %, about 25 at % to about 35 at %, about 25 at % to about 30 at %, about 25 at % to about 28 at %, about 30 at % to about 45 at %, about 30 at % to about 40 at %, about 30 at % to about 35 at %, about 30 at % to about 32 at %, about 28 at % to about 40 at %, about 28 at % to about 35 at %, or about 28 at % to about 32 at %.

[0036] In one or more embodiments, the silicon boron nitride layer has a nitrogen to silicon atomic ratio of greater than 1. The silicon boron nitride layer has a nitrogen to silicon atomic ratio of about 1.05, about 1.1, about 1.15, or about 1.2 to about 1.25, about 1.3, about 1.35, about 1.4, about 1.45, or about 1.5. For example, the silicon boron nitride layer has a nitrogen to silicon atomic ratio of about 1.05 to about 1.5, about 1.05 to about 1.4, about 1.05 to about 1.35, about 1.05 to about 1.3, about 1.05 to about 1.25, about 1.05 to about 1.2, about 1.05 to about 1.1, about 1.1 to about 1.5, about 1.1 to about 1.4, about 1.1 to about 1.35, about 1.1 to about 1.3, about 1.1 to about 1.25, about 1.1 to about 1.2, about 1.15 to about 1.5, about 1.15 to about 1.4, about 1.15 to about 1.35, about 1.15 to about 1.3, about 1.15 to about 1.25, or about 1.15 to about 1.2. In some embodiments, the silicon boron nitride layer contains about 60 at % to about 80 at % of boron bonded to silicon and about 20 at % to about 40 at % of boron bonded to nitrogen.

[0037] In one or more embodiments, the silicon boron nitride layer has a leakage current of less than 1.times.10.sup.-9 A/cm.sup.2 at 1.5 MV/cm. The silicon boron nitride layer has a leakage current of about 5.times.10.sup.-11 A/cm.sup.2, about 6.times.10.sup.-11 A/cm.sup.2, about 8.times.10.sup.-11 A/cm.sup.2, about 9.times.10.sup.-11 A/cm.sup.2, or about 1.times.10.sup.-10 A/cm.sup.2 at 1.5 MV/cm to about 2.times.10.sup.-10 A/cm.sup.2, about 6.times.10.sup.-10 A/cm.sup.2, about 7.5.times.10.sup.-10 A/cm.sup.2, about 8.times.10.sup.-10 A/cm.sup.2, or about 9.9.times.10.sup.-10 A/cm.sup.2 at 1.5 MV/cm. In some examples, the silicon boron nitride layer has a leakage current of about 5.times.10.sup.-11 A/cm.sup.2 to about 9.9.times.10.sup.-10 A/cm.sup.2 at 1.5 MV/cm or about 1.times.10.sup.-10 A/cm.sup.2 to about 7.times.10.sup.-10 A/cm.sup.2 at 1.5 MV/cm.

[0038] In one or more examples, the silicon boron nitride layer contains about 10 at % to about 50 at % of boron, has a nitrogen to silicon atomic ratio of about 1.05 to about 1.5, and has a leakage current of less than 1.times.10.sup.-9 A/cm.sup.2 at 1.5 MV/cm. In other examples, the silicon boron nitride layer contains about 20 at % to about 35 at % of boron, has a nitrogen to silicon atomic ratio of about 1.1 to about 1.4, and has a leakage current of about 5.times.10.sup.-11 A/cm.sup.2 to about 9.9.times.10.sup.-10 A/cm.sup.2 at 1.5 MV/cm.

[0039] The silicon boron nitride layer has a thickness of about 50 .ANG., about 80 .ANG., about 100 .ANG., about 120 .ANG., or about 150 .ANG. to about 180 .ANG., about 200 .ANG., about 250 .ANG., about 300 .ANG., about 400 .ANG., about 500 .ANG., about 600 .ANG., about 700 .ANG., about 800 .ANG., or about 1,000 .ANG.. For example, the silicon boron nitride layer has a thickness of about 50 .ANG. to about 1,000 .ANG., about 50 .ANG. to about 800 .ANG., about 50 .ANG. to about 600 .ANG., about 50 .ANG. to about 500 .ANG., about 50 .ANG. to about 400 .ANG., about 50 .ANG. to about 300 .ANG., about 50 .ANG. to about 200 .ANG., about 50 .ANG. to about 150 .ANG., about 50 .ANG. to about 100 .ANG., about 50 .ANG. to about 80 .ANG., about 80 .ANG. to about 1,000 .ANG., about 80 .ANG. to about 800 .ANG., about 80 .ANG. to about 600 .ANG., about 80 .ANG. to about 800 .ANG., about 80 .ANG. to about 400 .ANG., about 80 .ANG. to about 300 .ANG., about 80 .ANG. to about 200 .ANG., about 80 .ANG. to about 150 .ANG., about 80 .ANG. to about 100 .ANG., about 100 .ANG. to about 1,000 .ANG., about 100 .ANG. to about 800 .ANG., about 100 .ANG.to about 600 .ANG., about 100 .ANG. to about 1000 .ANG., about 100 .ANG. to about 400 .ANG., about 100 .ANG. to about 300 .ANG., about 100 .ANG. to about 200 .ANG., or about 100 .ANG. to about 150 .ANG..

[0040] In some examples, the silicon boron nitride layer is a stopper layer and has a thickness of about 50 .ANG., about 80 .ANG., about 100 .ANG., about 120 .ANG., or about 150 .ANG. to about 180 .ANG., about 200 .ANG., about 250 .ANG., or about 300 .ANG.. For example, a stopper layer contains silicon boron nitride and has a thickness of about 50 .ANG. to about 300 .ANG., about 100 .ANG. to about 200 .ANG., or about 125 .ANG. to about 175 .ANG.. In other examples, the silicon boron nitride layer is a supporter layer and has a thickness of about 100 .ANG., about 120 .ANG., about 150 .ANG., about 180 .ANG., or about 200 .ANG. to about 220 .ANG., about 250 .ANG., about 280 .ANG., about 300 .ANG., about 350 .ANG., about 400 .ANG., about 450 .ANG., about 500 .ANG., or about 600 .ANG.. For example, a supporter layer contains silicon boron nitride and has a thickness of about 100 .ANG. to about 600 .ANG., about 300 .ANG. to about 500 .ANG., or about 350 .ANG. to about 450 .ANG..

[0041] FIG. 1 is a schematic cross-sectional view of a process chamber 100, such as a PE-CVD chamber, utilized during one or more methods for forming silicon boron nitride materials and layers. The process chamber 100 includes a chamber body 102 coupled a vacuum pump 104 and an input manifold 106 coupled to a first gas source 108 and a second gas source 110. The chamber body 102 defines or otherwise contains a process region 112 that includes a pedestal 114 disposed therein to support a substrate 101. The pedestal 114 includes heating elements (not shown) and a mechanism (not shown) that retains the substrate 101 on the pedestal 114, such as an electrostatic chuck, a vacuum chuck, a substrate retaining clamp, or the like. The pedestal 114 is coupled to and movably disposed in the process region 112 by a stem 116 connected to a lift chamber (not shown) that moves the pedestal 114 between an elevated processing position and a lowered position that facilitates transfer of the substrate 101 to and from the process chamber 100 through an opening 118 of the chamber body 102.

[0042] A first flow controller 120, such as a mass flow control (MFC) device, is disposed between the first gas source 108 and the input manifold 106 to control a first flow of a first process gases from the first gas source 108 to a showerhead assembly 124, used for distributing the first process gases across the process region 112. The showerhead assembly can include a faceplate 121, a blocker plate 123, and a gas box 125, as depicted in FIG. 1. The first and second process gases can be maintained separately through the input manifold 106 and subsequently combined just upstream of the gas box 125.

[0043] In one or more examples, a first flow of the first process gas via line 131 is transferred from the first gas source 108 through the input manifold 106, a second flow of the second process gas via line 133 is transferred from the second gas source 110 through the input manifold 106, and both the first flow of the first process gas via line 131 and the second flow of the second process gas via line 133 are combined to produce a third flow of a third process gas via line 135 prior to being introduced into the gas box 125 and eventually into the process region 112.

[0044] The third flow of the third process gas via line 135 can be maintained at a temperature low enough to keep some the precursors (e.g., diborane, silane, and/or ammonia) from reacting in the lines and causing dusting or particulate throughout the showerhead assembly 124, the process region 112, and/or on the substrate 101. In some examples, the third flow of the third process gas via line 135 is maintained at a temperature of less than 165.degree. C., such as about 20.degree. C., about 25.degree. C., about 35.degree. C., about 50.degree. C., about 65.degree. C., about 90.degree. C., or about 100.degree. C. to about 110.degree. C., about 125.degree. C., about 135.degree. C., about 150.degree. C., about 160.degree. C., or about 164.degree. C. For example, the third flow of the third process gas via line 135 is maintained at a temperature of about 20.degree. C. to less than 165.degree. C., about 50.degree. C. to less than 165.degree. C., about 75.degree. C. to less than 165.degree. C., about 90.degree. C. to less than 165.degree. C., about 100.degree. C. to less than 165.degree. C., about 120.degree. C. to less than 165.degree. C., about 150.degree. C. to less than 165.degree. C., about 20.degree. C. to about 160.degree. C., about 50.degree. C. to about 160.degree. C., about 75.degree. C. to about 160.degree. C., about 90.degree. C. to about 160.degree. C., about 100.degree. C. to about 160.degree. C., about 120.degree. C. to about 160.degree. C., about 150.degree. C. to about 160.degree. C., about 20.degree. C. to about 140.degree. C., about 50.degree. C. to about 140.degree. C., about 75.degree. C. to about 140.degree. C., about 90.degree. C. to about 140.degree. C., about 100.degree. C. to about 140.degree. C., or about 120.degree. C. to about 140.degree. C.

[0045] According to one or more embodiments, which can be combined with other embodiments described herein, the first process gas includes at least one or more silicon-containing precursors, one or more nitrogen-containing precursors, and one or more carrier and/or process gases (e.g., helium, argon, hydrogen, and/or nitrogen). For example, the first process gas includes silane (SiH.sub.4), ammonia (NH.sub.3), helium (He), nitrogen (N.sub.2), argon (Ar), and hydrogen (H.sub.2). A second controller 122 is disposed between the second gas source 110 and the input manifold 106 to control a second flow of a second process gases from the second gas source 110 to the showerhead assembly 124 for distributing the second process gas across the process region 112. According to one or more embodiments, which can be combined with other embodiments described herein, the second process gas includes at least one or more boron-containing precursors and hydrogen gas, such as a mixture of diborane (B.sub.2H.sub.6) and hydrogen (H.sub.2).

[0046] The showerhead assembly 124 is coupled to and in fluid communication with a remote plasma system (RPS) 105. The RPS 105 can be used to form a plasma in the process region 112 from the first and second process gases in the process region 112. In some examples, the plasma is ignited or otherwise generated in the RPS 105 disposed outside of the chamber body 102. The plasma is transferred or otherwise introduced the process region 112 while depositing the silicon boron nitride layer on the substrate 101.

[0047] A third gas source 128 can be coupled to the chamber body 102 for providing additional process gas (e.g., argon, helium, nitrogen, or combinations thereof) to control the pressure within the process region 112. A controller 130 is coupled to the process chamber 100 and configured to control process conditions of the process chamber 100 during a deposition process or other processes.

[0048] FIG. 2 is a schematic cross-sectional view of a process chamber 200, such as a PE-CVD chamber, utilized for the method of forming the silicon boron nitride layer, as described and discussed herein by other embodiments. The process chamber 200 includes the chamber body 102 coupled the vacuum pump 104 and the manifold 106 coupled to the first gas source 108 and the second gas source 110. The chamber body 102 defines or otherwise contains the process region 112 that includes the pedestal 114 disposed therein to support the substrate 101. The pedestal 114 includes heating elements (not shown) and a mechanism (not shown) that retains the substrate 101 on the pedestal 114, such as an electrostatic chuck, a vacuum chuck, a substrate retaining clamp, or the like. The pedestal 114 is coupled to and movably disposed in the process region 112 by the stem 116 connected to a lift chamber (not shown) that moves the pedestal 114 between an elevated processing position and a lowered position that facilitates transfer of the substrate 101 to and from the process chamber 200 through the opening 118 of the chamber body 102.

[0049] The first flow controller 120, such as an MFC device, is disposed between the first gas source 108 and the input manifold 106 to control a first flow of a first process gases from the first gas source 108 to the showerhead assembly 124, used for distributing the first process gases across the process region 112. According to embodiments, which can be combined with other embodiments described herein, the first process gas includes at least silane (SiH.sub.4), ammonia (NH.sub.3), helium (He), nitrogen (N.sub.2), argon (Ar), and hydrogen (H.sub.2). The second controller 122 is disposed between the second gas source 110 and the input manifold 106 to control a second flow of a second process gases from the second gas source 110 to the showerhead assembly 124 for distributing the second process gas across the process region 112. According to embodiments, which can be combined with other embodiments described herein, the second process gas includes at least diborane (B.sub.2H.sub.6) and hydrogen (H.sub.2). The showerhead assembly 124 is coupled to a radio frequency (RF) power source 126 for forming a plasma in the process region 112 from the first and second process gases in the process region 112. The third gas source 128 can be coupled to the chamber body 102 for providing additional process gas (e.g., argon, helium, nitrogen, or combinations thereof) to control the pressure within the process region 112. The controller 130 is coupled to the process chamber 200 and configured to control aspects of the process chamber 200 during processing.

[0050] FIG. 3 is a flow diagram of a method 300 of forming a silicon boron nitride layer. To facilitate explanation, FIG. 3 will be described with reference to Figures and 2. However, it is to be noted that a chamber other than the process chamber 100 and 200 may be utilized in conjunction with the method 300. At operation 301, a substrate 101 is positioned in the process region 112 of the process chamber 100, 200. The substrate 101 is positioned at a process distance between the pedestal 114 and the showerhead assembly 124 of about 100 mil (about 2.5 millimeter (mm)) to about 5,000 mil (about 127 mm) or about 200 mil (about 5 mm) to about 1,000 mil (about 25.4 mm). At operation 302, the process region 112 is heated to a deposition temperature of about 575.degree. C. or less. The deposition temperature is maintained during the method 300. According to embodiments, which can be combined with other embodiments described herein, the process region 112 the deposition temperature of about 550.degree. C. or less is obtained by heating the pedestal 114. For example, the deposition temperature is about 225.degree. C. to about 575.degree. C. The process region 112 during the method 300 is maintained at a pressure of about 2 Torr to about 8 Torr or about 3 Torr to about 6 Torr.

[0051] At operation 303, a first flow of the first process gases is provided to the process region 112. The first flow of the first process gas includes about 0 sccm to about 2,000 sccm or about 1 sccm to about 500 sccm of silane, about 0 sccm to about 1,000 sccm or about 10 sccm to about 5,000 sccm of ammonia, about 0 sccm to about 50,000 sccm or about 500 sccm to about 20,000 sccm of helium, about 0 sccm to about 50,000 sccm or about 5,000 sccm to about 25,000 sccm of nitrogen (N.sub.2), about 0 sccm to about 50,000 sccm or about 50 sccm to about 10,000 sccm of argon, and about 0 sccm to about 50,000 sccm or about 50 sccm to about 20,000 sccm of hydrogen (H.sub.2). At operation 304, the first flow of the first process gases is discontinued. At operation 305, a plasma is formed concurrently with a second flow of the second process gases provided to the process region 112. According to embodiments, which can be combined with other embodiments described herein, plasma is introduced and/or generated in the process region 112 by the RPS 105 in process chamber 100 or by RF power provided from the RF power source 126 to the showerhead assembly 124 in process chamber 200. The second flow of the second process gas includes about 0 sccm to about 10,000 sccm or about 1 sccm to about 5,000 sccm of the second process gases. About 2 mol % to about 15 mol % of the flow of the second process gases is diborane and the remaining being hydrogen (H.sub.2). The method 300 forms a silicon boron nitride layer having the boron concentration of about 10 at % to about 50 at % or about 10 at % to about 20 at % and the leakage current is less than 1.times.10.sup.-9 A/cm.sup.2 at 1.5 MV/cm.

[0052] FIG. 4 depicts a capacitor device 400 containing one or more silicon boron nitride layers or materials which can be deposited or otherwise produced on a substrate by methods according to one or more embodiments described and discussed herein. The capacitor device 400 is formed in a dielectric layer 402 disposed on the substrate. The dielectric layer 402 can be or include one or more dielectric materials, such as silicon (e.g., amorphous silicon). A nitride barrier layer 404 is disposed on the walls of the vias formed within the dielectric layer 402, as well as disposed on metal contacts 406. The nitride barrier layer 404 contains one or more metal nitride materials, such as titanium nitride, tantalum nitride, tungsten nitride, silicides thereof, dopants thereof, or any combination thereof. The metal contact 406 contains copper, tungsten, aluminum, chromium, cobalt, alloys thereof, or any combination thereof. An oxide layer 410 is contained within the nitride barrier layer 404 and containing one or more holes or voids 408 defined by or otherwise formed in the oxide layer 410. The oxide layer can be or include silicon oxide or a dopant thereof. A stopper layer 420 containing silicon boron nitride can be disposed in a lower portion of the capacitor device 400, a supporter layer 422 containing silicon boron nitride can be disposed in a middle portion of the capacitor device 400, a supporter layer 422 containing silicon boron nitride can be disposed in an upper portion of the capacitor device 400, as depicted in FIG. 4.

[0053] In one or more embodiments, methods for forming the silicon boron nitride layer include positioning the substrate on the pedestal in the process region within the process chamber and introducing a first flow of a first process gas and a second flow of a second process gas to the process region. The first flow of the first process gas contains one or more silicon-containing precursors, one or more nitrogen containing precursors, hydrogen (H.sub.2), and at least two gases selected from argon, helium, nitrogen (N.sub.2), or any combination thereof. The method also includes forming a plasma concurrently with the first flow of the first process gas and the second flow of the second process gas to the process region and exposing the substrate to the first process gas, the second process gas, and the plasma to deposit the silicon boron nitride layer on the substrate.

[0054] In other embodiments, methods for forming the silicon boron nitride layer include positioning the substrate on the pedestal in the process region within the process chamber, heating the pedestal retaining the substrate to a deposition temperature, maintaining the process region at a process pressure as described and discussed above, and introducing a first flow of a first process gas to the process region. The first flow of the first process gas contains one or more silicon-containing precursors, one or more nitrogen containing precursors, helium, nitrogen (N.sub.2), argon, and hydrogen (H.sub.2). The method further includes discontinuing the first flow of the first process gases, forming a plasma concurrently with a second flow of a second process gas containing one or more boron-containing precursors and hydrogen (H.sub.2) to the process region, and forming the silicon boron nitride layer on the substrate.

[0055] In summation, a method of forming a silicon boron nitride layer having a boron concentration of about 20 at % to about 40 at % and a leakage current of less than 1.times.10.sup.-9 A/cm.sup.2 at 1.5 MV/cm is provided. The utilization of hydrogen gas allows for the formation of a nitrogen-rich, silicon-rich, and boron-rich layer. Hydrogen gas breaks Si--H bonds to remove in-layer hydrogen and create dangling bonds while process gases react with the active surface (e.g., the dangling bonds) of the substrate to create Si--Si bonds, Si--N bonds, and Si--B bonds.

[0056] Embodiments of the present disclosure further relate to any one or more of the following paragraphs 1-35:

[0057] 1. A method of forming a silicon boron nitride layer, comprising: positioning a substrate on a pedestal in a process region within a process chamber; heating a pedestal retaining the substrate to a deposition temperature of about 225.degree. C. to about 575.degree. C.; introducing a first flow of a first process gas and a second flow of a second process gas to the process region, wherein: the first flow of the first process gas comprises: silane having a flow rate of about 1 sccm to about 500 sccm, ammonia having a flow rate of about 10 sccm to about 5,000 sccm, helium having a flow rate of about 500 sccm to about 20,000 sccm, nitrogen (N.sub.2) having a flow rate of about 5,000 sccm to about 25,000 sccm, argon having a flow rate of about 50 sccm to about 10,000 sccm, and hydrogen (H.sub.2) having a flow rate of about 50 sccm to about 20,000 sccm, and the second flow of the second process gas comprises: about 2 molar percent (mol %) to about 15 mol % of diborane, about 85 mol % to about 98 mol % of hydrogen (H.sub.2), and a flow rate of about 1 sccm to about 5,000 sccm; forming a plasma concurrently with the first flow of the first process gas and the second flow of the second process gas to the process region; and exposing the substrate to the first process gas, the second process gas, and the plasma to deposit the silicon boron nitride layer on the substrate.

[0058] 2. A method of forming a silicon boron nitride layer, comprising: positioning a substrate on a pedestal in a process region within a process chamber; introducing a first flow of a first process gas and a second flow of a second process gas to the process region, wherein: the first flow of the first process gas comprises a silicon-containing precursor, a nitrogen-containing precursor, hydrogen (H.sub.2), and at least two gases selected from the group consisting of argon, helium, nitrogen (N.sub.2), and any combination thereof, and the second flow of the second process gas comprises: about 2 molar percent (mol %) to about 15 mol % of diborane, about 85 mol % to about 98 mol % of hydrogen (H.sub.2), and a flow rate of about 1 sccm to about 5,000 sccm; forming a plasma concurrently with the first flow of the first process gas and the second flow of the second process gas to the process region; and exposing the substrate to the first process gas, the second process gas, and the plasma to deposit the silicon boron nitride layer on the substrate, wherein the silicon boron nitride layer comprises about 10 atomic percent (at %) to about 50 at % of boron, wherein the silicon boron nitride layer has a nitrogen to silicon atomic ratio of about 1.05 to about 1.5, and wherein the silicon boron nitride layer has a leakage current of less than 1.times.10.sup.-9 A/cm.sup.2 at 1.5 MV/cm.

[0059] 3. A method of forming a silicon boron nitride layer, comprising: positioning a substrate on a pedestal in a process region within a process chamber; heating a pedestal retaining the substrate to a deposition temperature of about 225.degree. C. to about 575.degree. C.; maintaining the process region at a pressure of about 2 Torr to about 8 Torr; introducing a first flow of a first process gas to the process region, wherein the first flow of the first process gas comprises: silane having a flow rate of about 1 sccm to about 500 sccm, ammonia having a flow rate of about 10 sccm to about 5,000 sccm, helium having a flow rate of about 500 sccm to about 20,000 sccm, nitrogen (N.sub.2) having a flow rate of about 5,000 sccm to about 25,000 sccm, argon having a flow rate of about 50 sccm to about 10,000 sccm, and hydrogen (H.sub.2) having a flow rate of about 50 sccm to about 20,000 sccm; discontinuing the first flow of the first process gases; forming a plasma concurrently with a second flow of a second process gas to the process region, wherein the second flow of the second process gas has a flow rate of about 1 sccm to about 5,000 sccm and comprises about 2 molar percent (mol %) to about 15 mol % of diborane and about 85 mol % to about 98 mol % of hydrogen (H.sub.2); and forming the silicon boron nitride layer on the substrate.

[0060] 4. The method according to any one of paragraphs 1-3, wherein the silicon boron nitride layer comprises about 10 atomic percent (at %) to about 50 at % of boron.

[0061] 5. The method according to any one of paragraphs 1-4, wherein the silicon boron nitride layer comprises about 10 at % to about 20 at % of boron.

[0062] 6. The method according to any one of paragraphs 1-5, wherein the silicon boron nitride layer comprises about 20 at % to about 30 at % of boron.

[0063] 7. The method according to any one of paragraphs 1-6, wherein the silicon boron nitride layer comprises about 15 at % to about 30 at % of boron.

[0064] 8. The method according to any one of paragraphs 1-7, wherein the silicon boron nitride layer comprises about 15 at % to about 20 at % of boron.

[0065] 9. The method according to any one of paragraphs 1-8, wherein the silicon boron nitride layer has a nitrogen to silicon atomic ratio of about 1.05 to about 1.5.

[0066] 10. The method according to any one of paragraphs 1-9, wherein the silicon boron nitride layer has a nitrogen to silicon atomic ratio of about 1.1 to about 1.4.

[0067] 11. The method according to any one of paragraphs 1-10, wherein the silicon boron nitride layer has a nitrogen to silicon atomic ratio of about 1.15 to about 1.35.

[0068] 12. The method according to any one of paragraphs 1-11, wherein the silicon boron nitride layer comprises about 5 at % to about 15 at % of hydrogen.

[0069] 13. The method according to any one of paragraphs 1-12, wherein the silicon boron nitride layer has a leakage current of less than 1.times.10.sup.-9 A/cm.sup.2 at 1.5 MV/cm.

[0070] 14. The method according to any one of paragraphs 1-13, wherein the silicon boron nitride layer has a leakage current of about 5.times.10.sup.-11 A/cm.sup.2 to about 9.9.times.10.sup.-10 A/cm.sup.2 at 1.5 MV/cm.

[0071] 15. The method according to any one of paragraphs 1-14, wherein the silicon boron nitride layer has a leakage current of about 1.times.10.sup.-10 A/cm.sup.2 to about 7.times.10.sup.-10 A/cm.sup.2 at 1.5 MV/cm.

[0072] 16. The method according to any one of paragraphs 1-15, wherein the silicon boron nitride layer comprises: about 60 at % to about 80 at % of boron bonded to silicon; and about 20 at % to about 40 at % of boron bonded to nitrogen.

[0073] 17. The method according to any one of paragraphs 1-16, wherein the deposition temperature is about 350.degree. C. to about 560.degree. C.

[0074] 18. The method according to any one of paragraphs 1-17, wherein the deposition temperature is about 450.degree. C. to about 550.degree. C.

[0075] 19. The method according to any one of paragraphs 1-18, wherein the first flow of the first process gas and the second flow of the second process gas are combined to produce a third flow of a third process gas prior to being introduced into the process region.

[0076] 20. The method of paragraph 19, wherein the third flow of the third process gas is maintained at a temperature of about 20.degree. C. to less than 165.degree. C.

[0077] 21. The method according to any one of paragraphs 1-20, wherein the first flow of the first process gas comprises: the silane having a flow rate of about 10 sccm to about 250 sccm, the ammonia having a flow rate of about 50 sccm to about 2,000 sccm, the helium having a flow rate of about 750 sccm to about 15,000 sccm, the nitrogen having a flow rate of about 10,000 sccm to about 20,000 sccm, the argon having a flow rate of about 200 sccm to about 7,500 sccm, and the hydrogen having a flow rate of about 200 sccm to about 15,000 sccm.

[0078] 22. The method according to any one of paragraphs 1-21, wherein the first flow of the first process gas comprises: the silane having a flow rate of about 20 sccm to about 100 sccm, the ammonia having a flow rate of about 100 sccm to about 1,000 sccm, the helium having a flow rate of about 1,000 sccm to about 10,000 sccm, the nitrogen having a flow rate of about 12,000 sccm to about 18,000 sccm, the argon having a flow rate of about 500 sccm to about 5,000 sccm, and the hydrogen having a flow rate of about 500 sccm to about 10,000 sccm.

[0079] 23. The method according to any one of paragraphs 1-22, wherein the second flow of the second process gas comprises: about 3 mol % to about 12 mol % of the diborane, about 88 mol % to about 97 mol % of the hydrogen, and a flow rate of about 5 sccm to about 2,000 sccm.

[0080] 24. The method according to any one of paragraphs 1-23, wherein the second flow of the second process gas comprises: about 5 mol % to about 10 mol % of the diborane, about 90 mol % to about 95 mol % of the hydrogen, and a flow rate of about 10 sccm to about 1,000 sccm.

[0081] 25. The method according to any one of paragraphs 1-24, further comprising maintaining the process region at a pressure of about 2 Torr to about 8 Torr.

[0082] 26. The method according to any one of paragraphs 1-25, wherein the pedestal is positioned at a process distance between the pedestal and a showerhead of the process chamber, and wherein the process distance is about 200 mil to about 1,000 mil.

[0083] 27. The method according to any one of paragraphs 1-26, wherein the silicon boron nitride layer is located in a capacitor device disposed on the substrate.

[0084] 28. The method according to any one of paragraphs 1-27, wherein the silicon boron nitride layer is a supporter layer of a capacitor device.

[0085] 29. The method according to any one of paragraphs 1-28, wherein the silicon boron nitride layer is a stopper layer of a capacitor device.

[0086] 30. The method according to any one of paragraphs 1-29, wherein the silicon boron nitride layer has a thickness of about 50 .ANG. to about 800 .ANG..

[0087] 31. The method according to any one of paragraphs 1-30, wherein the silicon boron nitride layer is stopper layer and has a thickness of about 100 .ANG. to about 200 .ANG., or a thickness of about 150 .ANG..

[0088] 32. The method according to any one of paragraphs 1-31, wherein the silicon boron nitride layer is supporter layer and has a thickness of about 200 .ANG. to about 600 .ANG., or a thickness of about 400 .ANG..

[0089] 33. The method according to any one of paragraphs 1-32, further comprising: generating the plasma in a remote plasma system disposed outside of the process chamber; and transferring the plasma into the process region while depositing the silicon boron nitride layer on the substrate.

[0090] 34. The method according to any one of paragraphs 1-33, wherein the silicon boron nitride layer comprises about 20 at % to about 35 at % of boron, wherein the silicon boron nitride layer has a nitrogen to silicon atomic ratio of about 1.1 to about 1.4, and wherein the silicon boron nitride layer has a leakage current of about 5.times.10.sup.-11 A/cm.sup.2 to about 9.9.times.10.sup.-10 A/cm.sup.2 at 1.5 MV/cm.

[0091] 35. The silicon boron nitride layer or a silicon boron nitride material made, produced, deposited, or otherwise formed by any one of the methods according to paragraphs 1-34.

[0092] While the foregoing is directed to embodiments of the disclosure, other and further embodiments may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. All documents described herein are incorporated by reference herein, including any priority documents and/or testing procedures to the extent they are not inconsistent with this text. As is apparent from the foregoing general description and the specific embodiments, while forms of the present disclosure have been illustrated and described, various modifications can be made without departing from the spirit and scope of the present disclosure. Accordingly, it is not intended that the present disclosure be limited thereby. Likewise, the term "comprising" is considered synonymous with the term "including" for purposes of United States law. Likewise whenever a composition, an element or a group of elements is preceded with the transitional phrase "comprising", it is understood that we also contemplate the same composition or group of elements with transitional phrases "consisting essentially of," "consisting of", "selected from the group of consisting of," or "is" preceding the recitation of the composition, element, or elements and vice versa.

[0093] Certain embodiments and features have been described using a set of numerical upper limits and a set of numerical lower limits. It should be appreciated that ranges including the combination of any two values, e.g., the combination of any lower value with any upper value, the combination of any two lower values, and/or the combination of any two upper values are contemplated unless otherwise indicated. Certain lower limits, upper limits and ranges appear in one or more claims below.

* * * * *

Patent Diagrams and Documents
D00000
D00001
D00002
D00003
D00004
XML
US20200211834A1 – US 20200211834 A1

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed