loadpatents
name:-0.50654911994934
name:-0.081011056900024
name:-0.038467884063721
Padhi; Deenesh Patent Filings

Padhi; Deenesh

Patent Applications and Registrations

Patent applications and USPTO patent grants for Padhi; Deenesh.The latest application filed is for "doped silicon nitride for 3d nand".

Company Profile
37.75.128
  • Padhi; Deenesh - Sunnyvale CA
  • Padhi; Deenesh - Santa Clara CA
  • Padhi; Deenesh - Sannyvale CA
  • Padhi; Deenesh - San Jose CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Initiation modulation for plasma deposition
Grant 11,430,654 - Mutyala , et al. August 30, 2
2022-08-30
Doped Silicon Nitride For 3d Nand
App 20220216048 - Li; Tianyang ;   et al.
2022-07-07
Film stack overlay improvement
Grant 11,339,475 - Han , et al. May 24, 2
2022-05-24
Silicon Oxide Gap Fill Using Capacitively Coupled Plasmas
App 20220157602 - Mutyala; Madhu Santosh Kumar ;   et al.
2022-05-19
Analyzing In-plane Distortion
App 20220138396 - Wang; Wenjiao ;   et al.
2022-05-05
Tensile Nitride Deposition Systems And Methods
App 20220130661 - Tsiang; Michael Wenyoung ;   et al.
2022-04-28
Systems And Methods Of Seasoning Electrostatic Chucks With Dielectric Seasoning Films
App 20220122872 - Singhal; Akhil ;   et al.
2022-04-21
Profile Shaping For Control Gate Recesses
App 20220123114 - Singhal; Akhil ;   et al.
2022-04-21
Electric Arc Mitigating Faceplate
App 20220122811 - Mutyala; Madhu Santosh Kumar ;   et al.
2022-04-21
Method Of Reducing Defects In A Multi-layer Pecvd Teos Oxide Film
App 20220119952 - Howlader; Rana ;   et al.
2022-04-21
Power Supply Signal Conditioning For An Electrostatic Chuck
App 20220102179 - Ye; Zheng John ;   et al.
2022-03-31
Low-k dielectric with self-forming barrier layer
Grant 11,289,369 - Ding , et al. March 29, 2
2022-03-29
Deposition of Silicon Boron Nitride Films
App 20220084809 - Yang; Chuanxi ;   et al.
2022-03-17
On stack overlay improvement for 3D NAND
Grant 11,276,569 - Lin , et al. March 15, 2
2022-03-15
Multi zone electrostatic chuck
Grant 11,270,903 - Mutyala , et al. March 8, 2
2022-03-08
Systems And Methods For Depositing High Density And High Tensile Stress Films
App 20220068630 - Yang; Chuanxi ;   et al.
2022-03-03
Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
Grant 11,217,443 - Vats , et al. January 4, 2
2022-01-04
Process development visualization tool
Grant 11,157,661 - Vats , et al. October 26, 2
2021-10-26
Method of forming film stacks with reduced defects
Grant 11,145,504 - Jiang , et al. October 12, 2
2021-10-12
Shadow ring for modifying wafer edge and bevel deposition
Grant 11,136,665 - Bois , et al. October 5, 2
2021-10-05
Systems And Methods For Depositing Low-k Dielectric Films
App 20210272800 - Mukherjee; Shaunak ;   et al.
2021-09-02
Methods For Pressure Ramped Plasma Purge
App 20210242016 - Mutyala; Madhu Santosh Kumar ;   et al.
2021-08-05
Method to enable high temperature processing without chamber drifting
Grant 11,060,189 - Tsiang , et al. July 13, 2
2021-07-13
Multi Zone Electrostatic Chuck
App 20210183678 - Mutyala; Madhu Santosh Kumar ;   et al.
2021-06-17
Initiation Modulation For Plasma Deposition
App 20210159073 - Mutyala; Madhu Santosh Kumar ;   et al.
2021-05-27
SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material
Grant 11,011,371 - Gadre , et al. May 18, 2
2021-05-18
Surface Encasing Material Layer
App 20210134592 - Mutyala; Madhu Santosh Kumar ;   et al.
2021-05-06
Repulsion Mesh And Deposition Methods
App 20210082732 - Mutyala; Madhu Santosh Kumar ;   et al.
2021-03-18
Pulsed plasma deposition etch step coverage improvement
Grant 10,950,430 - Vats , et al. March 16, 2
2021-03-16
Chamber Configurations For Controlled Deposition
App 20210047730 - Addepalli; Sai Susmita ;   et al.
2021-02-18
Modified Stacks For 3d Nand
App 20210040607 - Han; Xinhai ;   et al.
2021-02-11
Semiconductor Substrate Supports With Improved High Temperature Chucking
App 20210035843 - Li; Jian ;   et al.
2021-02-04
Low-K Dielectric With Self-Forming Barrier Layer
App 20200388532 - Ding; Yi ;   et al.
2020-12-10
High deposition rate and high quality nitride
Grant 10,790,140 - Han , et al. September 29, 2
2020-09-29
Hydrophobic And Icephobic Coating
App 20200299834 - BAJAJ; Rajeev ;   et al.
2020-09-24
Multi-layer Stacks For 3d Nand Extendability
App 20200295041 - HAN; Xinhai ;   et al.
2020-09-17
Multi Channel Splitter Spool
App 20200251310 - Kind Code
2020-08-06
Method Of Forming Film Stacks With Reduced Defects
App 20200227258 - JIANG; Zhijun ;   et al.
2020-07-16
Methods for depositing dielectric barrier layers and aluminum containing etch stop layers
Grant 10,707,122 - Kesapragada , et al.
2020-07-07
Methods For Forming Films Containing Silicon Boron With Low Leakage Current
App 20200211834 - YANG; Chuanxi ;   et al.
2020-07-02
Multi-layer stacks for 3D NAND extendibility
Grant 10,700,087 - Han , et al.
2020-06-30
Process Development Visualization Tool
App 20200202044 - Vats; Vinayak Veer ;   et al.
2020-06-25
Methods For Depositing Phosphorus-doped Silicon Nitride Films
App 20200190664 - HU; Kesong ;   et al.
2020-06-18
Sequential Deposition And High Frequency Plasma Treatment Of Deposited Film On Patterned And Un-patterned Substrates
App 20200176241 - Vats; Vinayak Veer ;   et al.
2020-06-04
Film Stack Overlay Improvement For 3d Nand Application
App 20200173022 - HAN; Xinhai ;   et al.
2020-06-04
Low Dielectric Constant Oxide And Low Resistance Op Stack For 3d Nand Application
App 20200126784 - HAN; Xinhai ;   et al.
2020-04-23
Method and system for high temperature clean
Grant 10,612,135 - Baluja , et al.
2020-04-07
Method To Enable High Temperature Processing Without Chamber Drifting
App 20200095677 - TSIANG; Michael Wenyoung ;   et al.
2020-03-26
Oxide with higher utilization and lower cost
Grant 10,595,477 - Guo , et al.
2020-03-24
Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
Grant 10,593,543 - Gadre , et al.
2020-03-17
Non-uv High Hardness Low K Film Deposition
App 20200075321 - MUKHERJEE; Shaunak ;   et al.
2020-03-05
On Stack Overlay Improvement For 3d Nand
App 20200043723 - LIN; Yongjing ;   et al.
2020-02-06
Low dielectric constant oxide and low resistance OP stack for 3D NAND application
Grant 10,553,427 - Han , et al. Fe
2020-02-04
Dry etch rate reduction of silicon nitride films
Grant 10,515,796 - Tsiang , et al. Dec
2019-12-24
Pulsed Plasma Deposition Etch Step Coverage Improvement
App 20190385844 - VATS; Vinayak Veer ;   et al.
2019-12-19
Sibn Film For Conformal Hermetic Dielectric Encapsulation Without Direct Rf Exposure To Underlying Structure Material
App 20190326110 - GADRE; Milind ;   et al.
2019-10-24
Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
Grant 10,410,872 - Cheng , et al. Sept
2019-09-10
Shadow Ring For Modifying Wafer Edge And Bevel Deposition
App 20190153592 - BOIS; Dale Du ;   et al.
2019-05-23
Dry Etch Rate Reduction Of Silicon Nitride Films
App 20190157077 - TSIANG; Michael Wenyoung ;   et al.
2019-05-23
Multi-layer Stacks For 3d Nand Extendability
App 20190115365 - HAN; Xinhai ;   et al.
2019-04-18
Conformal amorphous carbon for spacer and spacer protection applications
Grant 10,236,182 - Kim , et al.
2019-03-19
Shadow ring for modifying wafer edge and bevel deposition
Grant 10,227,695 - Du Bois , et al.
2019-03-12
Oxide With Higher Utilization And Lower Cost
App 20190074176 - GUO; Lei ;   et al.
2019-03-07
Methods For Depositing Dielectric Barrier Layers And Aluminum Containing Etch Stop Layers
App 20190027403 - KESAPRAGADA; Sree Rangasai V. ;   et al.
2019-01-24
Method Of Depositing Doped Amorphous Silicon Films With Enhanced Defect Control, Reduced Substrate Sensitivity To In-film Defects And Bubble-free Film Growth
App 20180350596 - GADRE; Milind ;   et al.
2018-12-06
Low Dielectric Constant Oxide And Low Resistance Op Stack For 3d Nand Application
App 20180315592 - HAN; Xinhai ;   et al.
2018-11-01
Methods for depositing dielectric barrier layers and aluminum containing etch stop layers
Grant 10,109,520 - Kesapragada , et al. October 23, 2
2018-10-23
Selective Poreseal Deposition Prevention And Residue Removal Using Sam
App 20180261500 - Bajaj; Geetika ;   et al.
2018-09-13
Selective poreseal deposition prevention and residue removal using SAM
Grant 10,074,559 - Bajaj , et al. September 11, 2
2018-09-11
Ultra-conformal carbon film deposition
Grant 10,074,534 - Behera , et al. September 11, 2
2018-09-11
High Deposition Rate And High Quality Nitride
App 20180233356 - HAN; Xinhai ;   et al.
2018-08-16
Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
Grant 10,049,921 - Draeger , et al. August 14, 2
2018-08-14
Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
Grant 10,014,174 - Mebarki , et al. July 3, 2
2018-07-03
Interconnect structures and methods of formation
Grant 9,984,976 - Cheng , et al. May 29, 2
2018-05-29
Inhibitor plasma mediated atomic layer deposition for seamless feature fill
Grant 9,966,299 - Tang , et al. May 8, 2
2018-05-08
Borane Mediated Dehydrogenation Process From Silane And Alkylsilane Species For Spacer And Hardmask Application
App 20180076042 - CHENG; Rui ;   et al.
2018-03-15
A Method And System For High Temperature Clean
App 20180023193 - BALUJA; Sanjeev ;   et al.
2018-01-25
Ultra-conformal Carbon Film Deposition
App 20170301537 - BEHERA; Swayambhu P. ;   et al.
2017-10-19
Interconnect integration for sidewall pore seal and via cleanliness
Grant 9,793,108 - Ren , et al. October 17, 2
2017-10-17
Conformal Strippable Carbon Film For Line-edge-roughness Reduction For Advanced Patterning
App 20170278709 - MEBARKI; Bencherki ;   et al.
2017-09-28
Ultra-conformal carbon film deposition
Grant 9,721,784 - Behera , et al. August 1, 2
2017-08-01
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20170170015 - KIM; Sungjin ;   et al.
2017-06-15
Method And Apparatus For Clamping And Declamping Substrates Using Electrostatic Chucks
App 20170162417 - YE; Zheng John ;   et al.
2017-06-08
Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
Grant 9,659,771 - Mebarki , et al. May 23, 2
2017-05-23
Aluminum nitride barrier layer
Grant 9,646,876 - Padhi , et al. May 9, 2
2017-05-09
Low Temp Single Precursor Arc Hard Mask For Multilayer Patterning Application
App 20170125241 - MUKHERJEE; Shaunak ;   et al.
2017-05-04
Methods For Depositing Dielectric Barrier Layers And Aluminum Containing Etch Stop Layers
App 20170098575 - KESAPRAGADA; Sree Rangasai V. ;   et al.
2017-04-06
Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
Grant 9,613,908 - Padhi , et al. April 4, 2
2017-04-04
Enhancing electrical property and UV compatibility of ultrathin blok barrier film
Grant 9,580,801 - Ba , et al. February 28, 2
2017-02-28
Conformal amorphous carbon for spacer and spacer protection applications
Grant 9,570,303 - Kim , et al. February 14, 2
2017-02-14
Interconnect Integration For Sidewall Pore Seal And Via Cleanliness
App 20160379819 - REN; He ;   et al.
2016-12-29
Conformal Strippable Carbon Film For Line-edge-roughness Reduction For Advanced Patterning
App 20160365248 - MEBARKI; Bencherki ;   et al.
2016-12-15
UV assisted CVD AlN film for BEOL etch stop application
Grant 9,502,263 - Demos , et al. November 22, 2
2016-11-22
Inhibitor Plasma Mediated Atomic Layer Deposition For Seamless Feature Fill
App 20160329238 - Tang; Wei ;   et al.
2016-11-10
Dielectric Constant Recovery
App 20160300757 - Dash; Priyanka ;   et al.
2016-10-13
Aluminum Nitride Barrier Layer
App 20160254181 - Padhi; Deenesh ;   et al.
2016-09-01
Inhibitor plasma mediated atomic layer deposition for seamless feature fill
Grant 9,425,078 - Tang , et al. August 23, 2
2016-08-23
Interconnect Structures And Methods Of Formation
App 20160240483 - CHENG; YANA ;   et al.
2016-08-18
Selective Sealant Removal
App 20160172238 - Kumar; Bhaskar ;   et al.
2016-06-16
Ultra-thin Dielectric Diffusion Barrier And Etch Stop Layer For Advanced Interconnect Applications
App 20160172239 - PADHI; Deenesh ;   et al.
2016-06-16
Uv Assisted Cvd Aln Film For Beol Etch Stop Application
App 20160172211 - DEMOS; Alexandros T. ;   et al.
2016-06-16
Apparatus and method for substrate clamping in a plasma chamber
Grant 9,337,072 - Balasubramanian , et al. May 10, 2
2016-05-10
Method for critical dimension reduction using conformal carbon films
Grant 9,337,051 - Mebarki , et al. May 10, 2
2016-05-10
Nitrogen Doped Amorphous Carbon Hardmask
App 20160086794 - CHENG; Siu F. ;   et al.
2016-03-24
Enhancing Electrical Property And Uv Compatibility Of Ultrathin Blok Barrier Film
App 20160071724 - BA; Xiaolan ;   et al.
2016-03-10
Flowable Dielectric For Selective Ultra Low-k Pore Sealing
App 20160056071 - Draeger; Nerissa Sue ;   et al.
2016-02-25
Method For Critical Dimension Reduction Using Conformal Carbon Films
App 20160049305 - MEBARKI; Bencherki ;   et al.
2016-02-18
Ultra-conformal Carbon Film Deposition Layer-by-layer Deposition Of Carbon-doped Oxide Films
App 20160005596 - BEHERA; Swayambhu P. ;   et al.
2016-01-07
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20150279676 - KIM; Sungjin ;   et al.
2015-10-01
Inhibitor Plasma Mediated Atomic Layer Deposition For Seamless Feature Fill
App 20150243545 - Tang; Wei ;   et al.
2015-08-27
Methods And Apparatus For Forming Flowable Dielectric Films Having Low Porosity
App 20150118863 - Rathod; Megha ;   et al.
2015-04-30
Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
Grant 8,993,454 - Seamons , et al. March 31, 2
2015-03-31
Nitrogen Doped Amorphous Carbon Hardmask
App 20140370711 - CHENG; Siu F. ;   et al.
2014-12-18
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20140349490 - Kim; Sungjin ;   et al.
2014-11-27
Confined process volume PECVD chamber
Grant 8,778,813 - Sankarakrishnan , et al. July 15, 2
2014-07-15
Conformal Sacrificial Film By Low Temperature Chemical Vapor Deposition Technique
App 20140162194 - XU; Jingjing ;   et al.
2014-06-12
Deposition of an amorphous carbon layer with high film density and high etch selectivity
Grant 8,679,987 - Reilly , et al. March 25, 2
2014-03-25
Ultra High Selectivity Doped Amorphous Carbon Strippable Hardmask Development And Integration
App 20140017897 - SEAMONS; Martin Jay ;   et al.
2014-01-16
Deposition Of An Amorphous Carbon Layer With High Film Density And High Etch Selectivity
App 20130302996 - REILLY; Patrick ;   et al.
2013-11-14
Passivating glue layer to improve amorphous carbon to metal adhesion
Grant 8,569,105 - Cheng , et al. October 29, 2
2013-10-29
Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
Grant 8,536,065 - Seamons , et al. September 17, 2
2013-09-17
Conformal Amorphous Carbon For Spacer And Spacer Protection Applications
App 20130189845 - Kim; Sungjin ;   et al.
2013-07-25
Amorphous carbon deposition method for improved stack defectivity
Grant 8,349,741 - Yu , et al. January 8, 2
2013-01-08
Methods to improve the in-film defectivity of PECVD amorphous carbon films
Grant 8,282,734 - Padhi , et al. October 9, 2
2012-10-09
Passivating glue layer to improve amorphous carbon to metal adhesion
Grant 8,278,139 - Cheng , et al. October 2, 2
2012-10-02
Composite removable hardmask
Grant 8,252,699 - Konecni , et al. August 28, 2
2012-08-28
Amorphous Carbon Deposition Method For Improved Stack Defectivity
App 20120208374 - Yu; Hang ;   et al.
2012-08-16
Passivating Glue Layer To Improve Amorphous Carbon To Metal Adhesion
App 20120208339 - Cheng; Siu F. ;   et al.
2012-08-16
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20120204795 - Padhi; Deenesh ;   et al.
2012-08-16
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20120208373 - PADHI; DEENESH ;   et al.
2012-08-16
Amorphous carbon deposition method for improved stack defectivity
Grant 8,227,352 - Yu , et al. July 24, 2
2012-07-24
Composite Removable Hardmask
App 20120129351 - Konecni; Anthony ;   et al.
2012-05-24
Ultra High Selectivity Doped Amorphous Carbon Strippable Hardmask Development And Integration
App 20120080779 - SEAMONS; Martin Jay ;   et al.
2012-04-05
Variable Resistance Memory Element And Fabrication Methods
App 20120043518 - CHENG; Siu F. ;   et al.
2012-02-23
Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
Grant 8,105,465 - Lee , et al. January 31, 2
2012-01-31
Amorphous Carbon Deposition Method For Improved Stack Defectivity
App 20120015521 - Yu; Hang ;   et al.
2012-01-19
Nonplanar faceplate for a plasma processing chamber
Grant 8,097,082 - Zhou , et al. January 17, 2
2012-01-17
Graphene Deposition
App 20110303899 - Padhi; Deenesh ;   et al.
2011-12-15
Confined Process Volume Pecvd Chamber
App 20110294303 - Sankarakrishnan; Ramprakash ;   et al.
2011-12-01
Nitrogen Doped Amorphous Carbon Hardmask
App 20110244142 - CHENG; SIU F. ;   et al.
2011-10-06
Shadow Ring For Modifying Wafer Edge And Bevel Deposition
App 20110159211 - Du Bois; Dale R. ;   et al.
2011-06-30
Plasma Surface Treatment To Prevent Pattern Collapse In Immersion Lithography
App 20110111604 - Kim; Eui Kyoon ;   et al.
2011-05-12
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20110104400 - Padhi; Deenesh ;   et al.
2011-05-05
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20110090613 - Balasubramanian; Ganesh ;   et al.
2011-04-21
Passivating Glue Layer To Improve Amorphous Carbon To Metal Adhesion
App 20110076826 - Cheng; Siu F. ;   et al.
2011-03-31
Method for depositing an amorphous carbon film with improved density and step coverage
Grant 7,867,578 - Padhi , et al. January 11, 2
2011-01-11
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
Grant 7,802,538 - Padhi , et al. September 28, 2
2010-09-28
Method for Depositing Conformal Amorphous Carbon Film by Plasma-Enhanced Chemical Vapor Deposition (PECVD)
App 20100093187 - Lee; Kwangduk Douglas ;   et al.
2010-04-15
Nonplanar Faceplate For A Plasma Processing Chamber
App 20090269512 - Zhou; Jianhua ;   et al.
2009-10-29
Blocker plate bypass to distribute gases in a chemical vapor deposition system
Grant 7,572,337 - Rocha-Alvarez , et al. August 11, 2
2009-08-11
Plasma Surface Treatment To Prevent Pattern Collapse In Immersion Lithography
App 20090104541 - Kim; Eui Kyoon ;   et al.
2009-04-23
Methods to improve the in-film defectivity of PECVD amorphous carbon films
Grant 7,514,125 - Padhi , et al. April 7, 2
2009-04-07
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20090044753 - Padhi; Deenesh ;   et al.
2009-02-19
Liquid precursors for the CVD deposition of amorphous carbon films
Grant 7,407,893 - Seamons , et al. August 5, 2
2008-08-05
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20080153311 - Padhi; Deenesh ;   et al.
2008-06-26
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20080084650 - Balasubramanian; Ganesh ;   et al.
2008-04-10
Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
App 20080003824 - Padhi; Deenesh ;   et al.
2008-01-03
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20070295272 - Padhi; Deenesh ;   et al.
2007-12-27
Interface engineering to improve adhesion between low k stacks
Grant 7,259,111 - Padhi , et al. August 21, 2
2007-08-21
Selective metal encapsulation schemes
Grant 7,205,228 - Padhi , et al. April 17, 2
2007-04-17
Method To Deposit Functionally Graded Dielectric Films Via Chemical Vapor Deposition Using Viscous Precursors
App 20070079753 - Padhi; Deenesh ;   et al.
2007-04-12
Chemical mechanical polishing techniques for integrated circuit fabrication
App 20070082479 - Padhi; Deenesh ;   et al.
2007-04-12
Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
Grant 7,189,658 - Lakshmanan , et al. March 13, 2
2007-03-13
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
Grant 7,166,544 - Padhi , et al. January 23, 2
2007-01-23
Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
App 20060252273 - Lakshmanan; Annamalai ;   et al.
2006-11-09
Interface engineering to improve adhesion between low k stacks
App 20060160376 - Padhi; Deenesh ;   et al.
2006-07-20
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
App 20060046520 - Padhi; Deenesh ;   et al.
2006-03-02
Liquid precursors for the CVD deposition of amorphous carbon films
App 20050287771 - Seamons, Martin Jay ;   et al.
2005-12-29
Blocker plate bypass to distribute gases in a chemical vapor deposition system
App 20050263248 - Rocha-Alvarez, Juan Carlos ;   et al.
2005-12-01
Electropolishing of metallic interconnects
Grant 6,951,599 - Yahalom , et al. October 4, 2
2005-10-04
Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
App 20050136185 - Ramanathan, Sivakami ;   et al.
2005-06-23
Electroless deposition method
Grant 6,905,622 - Padhi , et al. June 14, 2
2005-06-14
Electroless deposition method
Grant 6,899,816 - Padhi , et al. May 31, 2
2005-05-31
Method and apparatus for reducing organic depletion during non-processing time periods
Grant 6,878,245 - Gandikota , et al. April 12, 2
2005-04-12
Selective metal encapsulation schemes
App 20040248409 - Padhi, Deenesh ;   et al.
2004-12-09
Electroless deposition method over sub-micron apertures
Grant 6,824,666 - Gandikota , et al. November 30, 2
2004-11-30
Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
Grant 6,821,909 - Ramanathan , et al. November 23, 2
2004-11-23
Homogeneous copper-palladium alloy plating for enhancement of electro-migration resistance in interconnects
App 20040118699 - Padhi, Deenesh ;   et al.
2004-06-24
Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
App 20040087141 - Ramanathan, Sivakami ;   et al.
2004-05-06
Electro-chemical polishing apparatus
Grant 6,723,224 - Yahalom , et al. April 20, 2
2004-04-20
Oxide treatment and pressure control for electrodeposition
App 20040069651 - Herchen, Harald ;   et al.
2004-04-15
Planarization by chemical polishing for ULSI applications
App 20030209523 - Padhi, Deenesh ;   et al.
2003-11-13
Homogeneous copper-tin alloy plating for enhancement of electro-migration resistance in interconnects
App 20030188974 - Padhi, Deenesh ;   et al.
2003-10-09
Electroless deposition method
App 20030189026 - Padhi, Deenesh ;   et al.
2003-10-09
Electroless deposition method
App 20030190812 - Padhi, Deenesh ;   et al.
2003-10-09
Electroless deposition method
App 20030190426 - Padhi, Deenesh ;   et al.
2003-10-09
Method and apparatus for reducing organic depletion during non-processing time periods
App 20030159936 - Gandikota, Srinivas ;   et al.
2003-08-28
Method to reduce the depletion of organics in electroplating baths
App 20030159937 - Gandikota, Srinivas ;   et al.
2003-08-28
Electropolishing of metallic interconnects
App 20030155255 - Yahalom, Joseph ;   et al.
2003-08-21
Method for forming copper interconnects
App 20030146102 - Ramanathan, Sivakami ;   et al.
2003-08-07
Electroless deposition method over sub-micron apertures
App 20030140988 - Gandikota, Srinivas ;   et al.
2003-07-31
Method of depositing a catalytic layer
App 20030143837 - Gandikota, Srinivas ;   et al.
2003-07-31
Method for determining a concentration of conductive species in an aqueous system
App 20030127334 - Padhi, Deenesh ;   et al.
2003-07-10
Electro-chemical polishing apparatus
App 20030024826 - Yahalom, Joseph ;   et al.
2003-02-06
Process window for gap-fill on very high aspect ratio structures using additives in low acid copper baths
App 20020112964 - Gandikota, Srinivas ;   et al.
2002-08-22

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed