loadpatents
name:-0.11646699905396
name:-0.039263963699341
name:-0.033483982086182
Janakiraman; Karthik Patent Filings

Janakiraman; Karthik

Patent Applications and Registrations

Patent applications and USPTO patent grants for Janakiraman; Karthik.The latest application filed is for "controlling concentration profiles for deposited films using machine learning".

Company Profile
29.41.97
  • Janakiraman; Karthik - San Jose CA
  • Janakiraman; Karthik - Sunnyvale CA
  • Janakiraman; Karthik - Santa Clara CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Methods for modifying photoresist profiles and tuning critical dimensions
Grant 11,456,173 - Gupta , et al. September 27, 2
2022-09-27
Film formation via pulsed RF plasma
Grant 11,443,919 - Nittala , et al. September 13, 2
2022-09-13
Controlling Concentration Profiles For Deposited Films Using Machine Learning
App 20220285232 - Baryshnikov; Anton V. ;   et al.
2022-09-08
Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition
Grant 11,421,324 - Hsu , et al. August 23, 2
2022-08-23
Methods, Systems, And Apparatus For Processing Substrates Using One Or More Amorphous Carbon Hardmask Layers
App 20220262643 - NITTALA; Krishna ;   et al.
2022-08-18
Gapfill Process Using Pulsed High-frequency Radio-frequency (hfrf) Plasma
App 20220238331 - Aydin; Aykut ;   et al.
2022-07-28
Plasma Enhanced Deposition Of Silicon-containing Films At Low Temperature
App 20220199404 - Aydin; Aykut ;   et al.
2022-06-23
Actively Cooled Foreline Trap To Reduce Throttle Valve Drift
App 20220170151 - Fu; Gaosheng ;   et al.
2022-06-02
Methods for conformal doping of three dimensional structures
Grant 11,335,555 - Cheng , et al. May 17, 2
2022-05-17
Multiple spacer patterning schemes
Grant 11,315,787 - Yang , et al. April 26, 2
2022-04-26
Hardmasks And Processes For Forming Hardmasks By Plasma Enhanced Chemical Vapor Deposition
App 20220119953 - HSU; Jui-Yuan ;   et al.
2022-04-21
Methods Of Forming Hardmasks
App 20220122835 - HSU; Jui-Yuan ;   et al.
2022-04-21
Bevel Backside Deposition Elimination
App 20220108872 - Huang; Zubin ;   et al.
2022-04-07
Boron Concentration Tunability In Boron-silicon Films
App 20220108892 - Yang; Yi ;   et al.
2022-04-07
Doping Semiconductor Films
App 20220093390 - Aydin; Aykut ;   et al.
2022-03-24
Radiation Shield For Removing Backside Deposition At Lift Pin Locations
App 20220093371 - Huang; Zubin ;   et al.
2022-03-24
Integration Processes Utilizing Boron-doped Silicon Materials
App 20220020599 - Koshizawa; Takehito ;   et al.
2022-01-20
Hydrogen Management In Plasma Deposited Films
App 20220020583 - Cheng; Rui ;   et al.
2022-01-20
Methods For Producing High-density, Nitrogen-doped Carbon Films For Hardmasks And Other Patterning Applications
App 20210407791 - HSU; Jui-Yuan ;   et al.
2021-12-30
Methods For Producing High-density Carbon Films For Hardmasks And Other Patterning Applications
App 20210407802 - HSU; Jui-Yuan ;   et al.
2021-12-30
Polysilicon liners
Grant 11,170,990 - Nittala , et al. November 9, 2
2021-11-09
Systems And Methods For Substrate Support Temperature Control
App 20210320027 - Huang; Zubin ;   et al.
2021-10-14
Deposition Radial And Edge Profile Tunability Through Independent Control Of Teos Flow
App 20210249230 - BALUJA; Sanjeev ;   et al.
2021-08-12
Surface Profiling And Texturing Of Chamber Components
App 20210183657 - GROECHEL; DAVID W. ;   et al.
2021-06-17
Methods for Conformal Doping of Three Dimensional Structures
App 20210175070 - CHENG; Rui ;   et al.
2021-06-10
Deposition radial and edge profile tunability through independent control of TEOS flow
Grant 11,017,986 - Baluja , et al. May 25, 2
2021-05-25
Apparatus And Methods For Improving Thermal Chemical Vapor Deposition (cvd) Uniformity
App 20210147981 - CHENG; Rui ;   et al.
2021-05-20
Methods To Reduce Material Surface Roughness
App 20210140045 - Yang; Yi ;   et al.
2021-05-13
Gas Delivery Systems And Methods
App 20210143029 - Kedlaya; Diwakar ;   et al.
2021-05-13
Amorphous Silicon-based Films Resistant To Crystallization
App 20210130174 - Aydin; Aykut ;   et al.
2021-05-06
Remote Capacitively Coupled Plasma Deposition Of Amorphous Silicon
App 20210040617 - HUANG; Zubin ;   et al.
2021-02-11
Rf Components With Chemically Resistant Surfaces
App 20200385866 - Srinivasan; Swaminathan ;   et al.
2020-12-10
Multiple Spacer Patterning Schemes
App 20200335338 - YANG; Tzu-Shun ;   et al.
2020-10-22
Multiple Spacer Patterning Schemes
App 20200335339 - YANG; Tzu-shun ;   et al.
2020-10-22
Methods For Modifying Photoresist Profiles And Tuning Critical Diimensions
App 20200321210 - GUPTA; Meenakshi ;   et al.
2020-10-08
Tunable ground planes in plasma chambers
Grant 10,774,423 - Janakiraman , et al. September 15, 2
2020-09-15
Polysilicon Liners
App 20200266052 - NITTALA; Krishna ;   et al.
2020-08-20
Method Of Processing A Substrate
App 20200266064 - NARAYANAN; Rajaram ;   et al.
2020-08-20
Film Formation Via Pulsed Rf Plasma
App 20200258720 - A1
2020-08-13
Semiconductor process equipment
Grant 10,734,265 - Janakiraman , et al.
2020-08-04
Heated Pedestal Design For Improved Heat Transfer And Temperature Uniformity
App 20200234932 - PARIMI; Venkata Sharat Chandra ;   et al.
2020-07-23
Micro-volume deposition chamber
Grant 10,711,347 - DuBois , et al.
2020-07-14
Methods For Forming Films Containing Silicon Boron With Low Leakage Current
App 20200211834 - YANG; Chuanxi ;   et al.
2020-07-02
Conformal Halogen Doping In 3D Structures Using Conformal Dopant Film Deposition
App 20200194571 - Cheng; Rui ;   et al.
2020-06-18
Pre-treatment approach to improve continuity of ultra-thin amorphous silicon film on silicon oxide
Grant 10,559,465 - Cheng , et al. Feb
2020-02-11
Cvd Based Spacer Deposition With Zero Loading
App 20200043722 - CHENG; Rui ;   et al.
2020-02-06
Wafer swapper
Grant 10,518,418 - Du Bois , et al. Dec
2019-12-31
Cvd Based Oxide-metal Multi Structure For 3d Nand Memory Devices
App 20190393042 - SINGHA ROY; Susmit ;   et al.
2019-12-26
Semiconductor process equipment
Grant 10,483,141 - Janakiraman , et al. Nov
2019-11-19
Multizone Rotatable Diffuser Apparatus
App 20190309418 - GUNAJI; Akshay ;   et al.
2019-10-10
Dynamic wafer leveling/tilting/swiveling steps for use during a chemical vapor deposition process
Grant 10,438,860 - Bansal , et al. O
2019-10-08
CVD based oxide-metal multi structure for 3D NAND memory devices
Grant 10,410,869 - Singha Roy , et al. Sept
2019-09-10
Gas distribution apparatus for processing chambers
Grant 10,240,234 - Du Bois , et al.
2019-03-26
Processing system containing an isolation region separating a deposition chamber from a treatment chamber
Grant 10,236,197 - Janakiraman , et al.
2019-03-19
Pre-treatment Approach To Improve Continuity Of Ultra-thin Amorphous Silicon Film On Silicon Oxide
App 20190027362 - CHENG; Rui ;   et al.
2019-01-24
Deposition Radial And Edge Profile Tenability Through Independent Control Of Teos Flow
App 20180350562 - BALUJA; Sanjeev ;   et al.
2018-12-06
Semiconductor Process Equipment
App 20180308735 - JANAKIRAMAN; Karthik ;   et al.
2018-10-25
High Deposition Rate High Quality Silicon Nitride Enabled By Remote Nitrogen Radical Source
App 20180294144 - AUBUCHON; Joseph F. ;   et al.
2018-10-11
Method and system for supplying a cleaning gas into a process chamber
Grant 10,094,486 - Sankarakrishnan , et al. October 9, 2
2018-10-09
Gas Distribution Apparatus for Processing Chambers
App 20180237915 - DU BOIS; Dale R. ;   et al.
2018-08-23
Semiconductor process equipment
Grant 10,056,279 - Janakiraman , et al. August 21, 2
2018-08-21
Wafer Swapper
App 20180117771 - DU BOIS; Dale R. ;   et al.
2018-05-03
Semiconductor Process Equipment
App 20180076075 - JANAKIRAMAN; Karthik ;   et al.
2018-03-15
Tunable Ground Planes In Plasma Chambers
App 20180073142 - Janakiraman; Karthik ;   et al.
2018-03-15
Wafer swapper
Grant 9,889,567 - Du Bois , et al. February 13, 2
2018-02-13
Cvd Based Oxide-metal Multi Structure For 3d Nand Memory Devices
App 20170372953 - ROY; Susmit Singha ;   et al.
2017-12-28
Flowable Amorphous Silicon Films For Gapfill Applications
App 20170372919 - Manna; Pramit ;   et al.
2017-12-28
Dynamic Wafer Leveling/tilting/swiveling During A Chemical Vapor Deposition Process
App 20170309528 - BANSAL; Amit Kumar ;   et al.
2017-10-26
Micro-Volume Deposition Chamber
App 20170298509 - DuBois; Dale R. ;   et al.
2017-10-19
Ceramic Showerhead With Embedded Conductive Layers
App 20170211185 - DU BOIS; Dale R. ;   et al.
2017-07-27
Quad Chamber And Platform Having Multiple Quad Chambers
App 20170194174 - JANAKIRAMAN; Karthik ;   et al.
2017-07-06
Deposition Of Conformal And Gap-Fill Amorphous Silicon Thin-Films
App 20170114453 - Chen; Yihong ;   et al.
2017-04-27
Wafer Swapper
App 20160314995 - DU BOIS; Dale R. ;   et al.
2016-10-27
Semiconductor Process Equipment
App 20160218029 - JANAKIRAMAN; Karthik ;   et al.
2016-07-28
Tunable Ground Planes In Plasma Chambers
App 20160145742 - Janakiraman; Karthik ;   et al.
2016-05-26
Processing System Containing An Isolation Region separating a Deposition chamber from a treatment chamber
App 20160133489 - JANAKIRAMAN; Karthik ;   et al.
2016-05-12
Apparatus and method for substrate clamping in a plasma chamber
Grant 9,337,072 - Balasubramanian , et al. May 10, 2
2016-05-10
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20160084400 - SANKARAKRISHNAN; Ramprakash ;   et al.
2016-03-24
Method and system for supplying a cleaning gas into a process chamber
Grant 9,206,511 - Sankarakrishnan , et al. December 8, 2
2015-12-08
Inductive/capacitive hybrid plasma source and system with such chamber
Grant 9,034,143 - Cho , et al. May 19, 2
2015-05-19
Method and apparatus for masking solar cell substrates for deposition
Grant 8,677,929 - Berger , et al. March 25, 2
2014-03-25
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20140076236 - SANKARAKRISHNAN; Ramprakash ;   et al.
2014-03-20
Method and system for supplying a cleaning gas into a process chamber
Grant 8,591,699 - Sankarakrishnan , et al. November 26, 2
2013-11-26
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20130213574 - Sankarakrishnan; Ramprakash ;   et al.
2013-08-22
Solar Wafer Electrostatic Chuck
App 20130105087 - Cho; Young Kyu ;   et al.
2013-05-02
System Architecture For Plasma Processing Solar Wafers
App 20130109189 - Cho; Young Kyu ;   et al.
2013-05-02
Inductive/capacitive Hybrid Plasma Source And System With Such Chamber
App 20130087531 - Cho; Young Kyu ;   et al.
2013-04-11
Large Area Icp Source For Plasma Application
App 20120291955 - Cho; Young Kyu ;   et al.
2012-11-22
Methods to improve the in-film defectivity of PECVD amorphous carbon films
Grant 8,282,734 - Padhi , et al. October 9, 2
2012-10-09
Systems For Plasma Enhanced Chemical Vapor Deposition And Bevel Edge Etching
App 20120211164 - Shah; Ashish ;   et al.
2012-08-23
Tunable Ground Planes In Plasma Chambers
App 20120205046 - Janakiraman; Karthik ;   et al.
2012-08-16
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20120204795 - Padhi; Deenesh ;   et al.
2012-08-16
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20120208373 - PADHI; DEENESH ;   et al.
2012-08-16
Method And Apparatus For Masking Substrates For Deposition
App 20120171807 - BERGER; Alexander J. ;   et al.
2012-07-05
Systems for plasma enhanced chemical vapor deposition and bevel edge etching
Grant 8,197,636 - Shah , et al. June 12, 2
2012-06-12
Systems And Methods For Moving Web Etch, Cvd, And Ion Implant
App 20120138230 - BLUCK; Terry ;   et al.
2012-06-07
Nonplanar faceplate for a plasma processing chamber
Grant 8,097,082 - Zhou , et al. January 17, 2
2012-01-17
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20110104400 - Padhi; Deenesh ;   et al.
2011-05-05
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20110090613 - Balasubramanian; Ganesh ;   et al.
2011-04-21
Apparatus and method for centering a substrate in a process chamber
Grant 7,922,440 - Du Bois , et al. April 12, 2
2011-04-12
Method for depositing an amorphous carbon film with improved density and step coverage
Grant 7,867,578 - Padhi , et al. January 11, 2
2011-01-11
Method and system for supplying a cleaning gas into a process chamber
Grant 7,699,935 - Sankarakrishnan , et al. April 20, 2
2010-04-20
Method and System for Supplying a Cleaning Gas Into a Process Chamber
App 20100012273 - Sankarakrishnan; Ramprakash ;   et al.
2010-01-21
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20090314309 - Sankarakrishnan; Ramprakash ;   et al.
2009-12-24
Big Foot Lift Pin
App 20090314211 - Du Bois; Dale R. ;   et al.
2009-12-24
Pedestal Heater For Low Temperature Pecvd Application
App 20090314208 - Zhou; Jianhua ;   et al.
2009-12-24
Nonplanar Faceplate For A Plasma Processing Chamber
App 20090269512 - Zhou; Jianhua ;   et al.
2009-10-29
Tunable Ground Planes In Plasma Chambers
App 20090236214 - Janakiraman; Karthik ;   et al.
2009-09-24
Gas Mixing Swirl Insert Assembly
App 20090120364 - Suarez; Edwin C. ;   et al.
2009-05-14
Methods to improve the in-film defectivity of PECVD amorphous carbon films
Grant 7,514,125 - Padhi , et al. April 7, 2
2009-04-07
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20090044753 - Padhi; Deenesh ;   et al.
2009-02-19
Apparatus And Method For Centering A Substrate In A Process Chamber
App 20090017228 - Du Bois; Dale R. ;   et al.
2009-01-15
Apparatus And Method For Processing A Substrate Edge Region
App 20090017635 - Shah; Ashish ;   et al.
2009-01-15
Systems For Plasma Enhanced Chemical Vapor Deposition And Bevel Edge Etching
App 20090014127 - Shah; Ashish ;   et al.
2009-01-15
Gas distribution showerhead featuring exhaust apertures
Grant 7,452,827 - Gianoulakis , et al. November 18, 2
2008-11-18
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20080153311 - Padhi; Deenesh ;   et al.
2008-06-26
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20080084650 - Balasubramanian; Ganesh ;   et al.
2008-04-10
Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
App 20080003824 - Padhi; Deenesh ;   et al.
2008-01-03
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20070295272 - Padhi; Deenesh ;   et al.
2007-12-27
Enhancement of remote plasma source clean for dielectric films
App 20070207275 - Nowak; Thomas ;   et al.
2007-09-06
Gas distribution showerhead featuring exhaust apertures
App 20060234514 - Gianoulakis; Steven ;   et al.
2006-10-19
Backflush chamber clean
Grant 7,037,376 - Harvey , et al. May 2, 2
2006-05-02
Reduction of reactive gas attack on substrate heater
App 20060005856 - Sun; David ;   et al.
2006-01-12
Gas distribution showerhead featuring exhaust apertures
App 20050103265 - Gianoulakis, Steven ;   et al.
2005-05-19
Gas flow control in a wafer processing system having multiple chambers for performing same process
Grant 6,843,882 - Janakiraman , et al. January 18, 2
2005-01-18
Blocker plate by-pass for remote plasma clean
Grant 6,830,624 - Janakiraman , et al. December 14, 2
2004-12-14
Blocker Plate By-pass For Remote Plasma Clean
App 20040216844 - Janakiraman, Karthik ;   et al.
2004-11-04
Backflush chamber clean
App 20040200499 - Harvey, Keith ;   et al.
2004-10-14
Gas distribution showerhead
Grant 6,793,733 - Janakiraman , et al. September 21, 2
2004-09-21
Gas distribution showerhead
App 20040060514 - Janakiraman, Karthik ;   et al.
2004-04-01
Gas flow control in a wafer processing system having multiple chambers for performing same process
App 20040007176 - Janakiraman, Karthik ;   et al.
2004-01-15
Gas distribution showerhead
App 20030140851 - Janakiraman, Karthik ;   et al.
2003-07-31
Integration of remote plasma generator with semiconductor processing chamber
Grant 6,387,207 - Janakiraman , et al. May 14, 2
2002-05-14

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed