Contacts Formed With Self-aligned Cuts

Xie; Ruilong ;   et al.

Patent Application Summary

U.S. patent application number 16/403745 was filed with the patent office on 2019-09-26 for contacts formed with self-aligned cuts. The applicant listed for this patent is GLOBALFOUNDRIES Inc.. Invention is credited to Laertis Economikos, Daniel Jaeger, Chanro Park, Haiting Wang, Ruilong Xie, Hui Zang.

Application Number20190295898 16/403745
Document ID /
Family ID67477566
Filed Date2019-09-26

View All Diagrams
United States Patent Application 20190295898
Kind Code A1
Xie; Ruilong ;   et al. September 26, 2019

CONTACTS FORMED WITH SELF-ALIGNED CUTS

Abstract

Structures and methods of fabricating structures that include contacts coupled with a source/drain region of a field-effect transistor. Source/drain regions are formed adjacent to a temporary gate structure. A sacrificial layer may be disposed over the source/drain regions and a dielectric pillar is formed in the sacrificial layer between the source/drain regions, followed by deposition of a fill material, replacement of the temporary gate structure with a functional gate structure, and removal of the fill material. Alternatively, the fill material is formed first and the temporary gate structure is replaced by a functional gate structure; following removal of the fill material, a sacrificial layer is disposed over the source/drain regions and a dielectric pillar is formed in the sacrificial layer between the source/drain regions. A conductive layer having separate portions contacting the separate source/drain regions is formed, with the dielectric pillar separating the portions of the conductive layer.


Inventors: Xie; Ruilong; (Niskayuna, NY) ; Jaeger; Daniel; (Saratoga Springs, NY) ; Park; Chanro; (Clifton Park, NY) ; Economikos; Laertis; (Wappingers Falls, NY) ; Wang; Haiting; (Clifton Park, NY) ; Zang; Hui; (Guilderland, NY)
Applicant:
Name City State Country Type

GLOBALFOUNDRIES Inc.

Grand Cayman

KY
Family ID: 67477566
Appl. No.: 16/403745
Filed: May 6, 2019

Related U.S. Patent Documents

Application Number Filing Date Patent Number
15928783 Mar 22, 2018 10373875
16403745

Current U.S. Class: 1/1
Current CPC Class: H01L 27/0886 20130101; H01L 29/785 20130101; H01L 21/76224 20130101; H01L 29/66545 20130101; H01L 21/823431 20130101; H01L 29/66795 20130101; H01L 21/31144 20130101; H01L 21/823481 20130101; H01L 29/41791 20130101; H01L 21/823418 20130101; H01L 21/823475 20130101
International Class: H01L 21/8234 20060101 H01L021/8234; H01L 21/762 20060101 H01L021/762; H01L 27/088 20060101 H01L027/088; H01L 29/66 20060101 H01L029/66; H01L 21/311 20060101 H01L021/311

Claims



1. A structure comprising: a first source/drain region and a second source/drain region; a dielectric pillar disposed between the first source/drain region and the second source/drain region, the dielectric pillar arranged to wrap around a portion of the first source/drain region and a portion of the second source/drain region; and a conductive layer having a first portion in a first contacting relationship with the first source/drain region and a second portion in a second contacting relationship with the second source/drain region, wherein the dielectric pillar is arranged between the first portion of the conductive layer and the second portion of the conductive layer.

2. The structure of claim 1 wherein the dielectric pillar has a first portion and a second portion below the first portion, and the first portion has a first width that is greater than a second width of the second portion.

3. The structure of claim 2 wherein the dielectric pillar has a third portion below the second portion, and the first source/drain region and the second source/drain region are arranged between the third portion of the dielectric pillar and the second portion of the dielectric pillar.

4. The structure of claim 3 further comprising: a conformal dielectric layer having a first portion arranged between the portion of the first source/drain region and the second portion of the dielectric pillar and a second portion arranged between the portion of the second source/drain region and the second portion of the dielectric pillar.

5. The structure of claim 3 further comprising: a dielectric layer arranged beneath the first source/drain region and the second source/drain region, wherein the third portion of the dielectric pillar is arranged over the dielectric layer.

6. The structure of claim 5 further comprising: a first fin structure; and a second fin structure separated from the first fin structure, wherein the first source/drain region is arranged on the first fin structure, the second source/drain region is arranged on the second fin structure, and the first fin structure and the second fin structure each penetrate from a substrate through the dielectric layer.

7. The structure of claim 3 further comprising: a dielectric layer arranged beneath the first source/drain region and the second source/drain region, wherein the dielectric pillar is arranged over the dielectric layer.

8. The structure of claim 7 further comprising: a first fin structure; and a second fin structure separated from the first fin structure, wherein the first source/drain region is arranged on the first fin structure, the second source/drain region is arranged on the second fin structure, and the first fin structure and the second fin structure each penetrate from a substrate through the dielectric layer.

9. The structure of claim 1 further comprising: a conformal dielectric layer having a first portion arranged between the portion of the first source/drain region and the second portion of the dielectric pillar and a second portion arranged between the portion of the second source/drain region and the second portion of the dielectric pillar.

10. The structure of claim 9 wherein the conformal dielectric layer is comprised of a nitride of silicon, and the dielectric pillar is comprised of an oxide of silicon.

11. The structure of claim 1 further comprising: a dielectric layer arranged beneath the first source/drain region and the second source/drain region, wherein the dielectric pillar is arranged over the dielectric layer.

12. The structure of claim 11 further comprising: a first fin structure; and a second fin structure separated from the first fin structure, wherein the first source/drain region is arranged on the first fin structure, the second source/drain region is arranged on the second fin structure, and the first fin structure and the second fin structure each penetrate from a substrate through the dielectric layer.

13. The structure of claim 12 further comprising: a first gate structure coupled with the first fin structure; and a second gate structure coupled with the second fin structure.

14. The structure of claim 13 wherein the first gate structure and the second gate structure each include one or more work function metal layers.
Description



BACKGROUND

[0001] The present invention relates to semiconductor device fabrication and integrated circuits and, more specifically, to methods of fabricating structures that include contacts coupled with a source/drain region of a field-effect transistor and related structures.

[0002] As circuit sizes have continued to shrink, modifications to processes for fabricating active devices of an integrated circuit become necessary to ensure proper functioning of the circuit and devices and prevent issues such as electrical shorts. Fabrication techniques that work for making integrated circuits with larger feature sizes may break down for smaller feature sizes, requiring new processes to be developed to replace outdated methods. For example, in many fabrication processes an interlayer dielectric is formed ahead of trench silicidation processes to form conductive contacts between metal layers and active devices; portions of the interlayer dielectric remain as pillars while other portions are removed to allow for formation of conductive contacts. However, some sacrificial interlayer dielectric materials, such as amorphous silicon, will end up with "voids" formed during deposition of the material, and these voids can trap other dielectric materials in successive fabrication steps that can partially or entirely prevent removal of the interlayer dielectric, resulting in poor conductive contact structures. Other interlayer dielectric materials are difficult to remove because the etchants used to remove the materials cannot achieve perfect selectivity to the interlayer dielectric material, and may partially remove other portions of the circuit structure that must remain intact; other etchants that can achieve such high selectivity may no longer be usable with smaller feature sizes.

SUMMARY

[0003] In an embodiment of the invention, a method includes forming a first source/drain region and a second source/drain region adjacent to a temporary gate structure. A trench is etched in the sacrificial layer between the first source/drain region and the second source/drain region, and a dielectric material is deposited in the trench to form a dielectric pillar. After the dielectric material has been deposited in the trench, a fill layer is formed over the first source/drain region and the second source/drain region. The temporary gate structure is then replaced with a functional gate structure, and the fill layer is removed. A conductive layer is formed with a first portion contacting the first source/drain region and a second portion contacting the second source/drain region. The dielectric pillar separates the first portion of the conductive layer from the second portion of the conductive layer.

[0004] In another embodiment of the invention, a method includes forming a first source/drain region and a second source/drain region adjacent to a temporary gate structure. A fill layer is formed over the first source/drain region and the second source/drain region. The temporary gate structure is then replaced with a functional gate structure, and the fill layer is removed. A sacrificial layer is formed over the first source/drain region and the second source/drain region. A trench is etched in the sacrificial layer between the first source/drain region and the second source/drain region, and a dielectric material is deposited in the trench to form a dielectric pillar. A conductive layer is formed with a first portion contacting the first source/drain region and a second portion contacting the second source/drain region. The dielectric pillar separates the first portion of the conductive layer from the second portion of the conductive layer.

[0005] In an embodiment, a structure includes a first fin structure and a second fin structure each extending above the substrate. A first source/drain region is disposed over a portion of the first fin structure, and a second source/drain region is disposed over a portion of the second fin structure. A dielectric pillar is disposed between the first and second source/drain regions. The dielectric pillar is arranged to wrap around a portion of the first source/drain region and a portion of the second source/drain region. A conductive layer has a first portion contacting the first source/drain region and a second portion contacting the second source/drain region. The first and second portions of the conductive layer are separated by the dielectric pillar.

BRIEF DESCRIPTION OF THE DRAWINGS

[0006] The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate various embodiments of the invention and, together with a general description of the invention given above and the detailed description of the embodiments given below, serve to explain the embodiments of the invention.

[0007] FIG. 1 is a top view of a structure at a fabrication stage of a processing method in accordance with embodiments of the invention.

[0008] FIG. 1A is a cross-sectional view of taken generally along line 1A-1A in FIG. 1.

[0009] FIG. 1B is a cross-sectional view of taken generally along line 1B-1B in FIG. 1.

[0010] FIG. 1C is a cross-sectional view of taken generally along line 1C-1C in FIG. 1.

[0011] FIGS. 2A-12A and FIGS. 2B-12B are cross-sectional views at successive fabrication stages of the processing method subsequent to, respectively, FIG. 1A and FIG. 1B.

[0012] FIGS. 13A-16A and 13B-16B are cross-sectional views of the structure of FIGS. 1A and 1B at successive fabrication stages of an alternative processing method in accordance with embodiments of the invention.

[0013] FIGS. 17-21 are cross-sectional views of a structure at successive additional fabrication stages of the processing method in accordance with embodiments of the invention.

[0014] FIGS. 22-24 are cross-sectional views of a structure at successive additional fabrication stages of the processing method in accordance with embodiments of the invention.

DETAILED DESCRIPTION

[0015] With reference to FIGS. 1, 1A, 1B, and 1C and in accordance with embodiments of the invention, a structure 100 includes a substrate 105 and fin structures 120, 125 extending above the substrate, with a dielectric layer 110 disposed over the substrate 105 and between fin structures 120, 125. Structure 100 also includes temporary gate structures 140, 141, spacers 144 on sidewalls of temporary gate structures 140, 141, and a hardmask layer 145 disposed over upper surfaces of dummy or temporary gate structures 140, 141. Spacers 144 and hardmask layer 145 may be composed of the same dielectric material, such as silicon nitride, or may be composed of different materials; for simplicity and clarity in the figures, the hardmask layer 145 and spacers 144 are depicted throughout as being composed of the same material. Substrate 105 may be a semiconductor substrate, such as a silicon wafer, and fin structures 120, 125 may be formed from the substrate 105 by, for example, a lithography and etching. Fin structures 120, 125 may include a plurality of semiconductor fins extending above the substrate 105, and may be composed of the same material as substrate 105 or may include other materials, such as germanium or other semiconductor materials. Fin structures 120, 125 may be formed, for example, by a self-aligned double patterning (SADP) process, a self-aligned quadruple patterning (SAQP) process, or other fin formation process. The dielectric layer 110 may be composed, for example, of an oxide of silicon such as silicon dioxide. Temporary gate structures 140, 141 may be composed of sacrificial materials, such as an amorphous silicon layer and a thin underlying oxide layer, and hardmask layer 145 may be composed of a dielectric material, such as silicon nitride, SiOCN, etc.

[0016] With reference to FIGS. 2A and 2B in which like reference numerals refer to like features in FIGS. 1A and 1B, respectively, and at a subsequent fabrication stage of the processing method, source/drain regions 130, 135 are formed over portions of fin structures 120, 125. Each source/drain region 130, 135 is formed adjacent to one or more of the temporary gate structures 140, 141 and extends above the dielectric layer 110. Source/drain regions 130, 135 may be formed, for example, by epitaxial growth of a semiconductor material from fin structures 120, 125. The semiconductor material over fin structures 120, 125 merges during epitaxial growth to form source/drain regions 130, 135. A portion of dielectric layer 110 is adjacent to source/drain region 130 and adjacent to source/drain region 135, and is therefore disposed between source/drain regions 130 and 135. A dielectric liner 146, such as a silicon nitride liner, may be deposited over structure 100 following formation of source/drain regions 130, 135.

[0017] With reference to FIGS. 3A and 3B in which like reference numerals refer to like features in FIGS. 2A and 2B and at a subsequent fabrication stage of the processing method, a sacrificial layer 150 is formed over source/drain regions 130, 135 and dielectric layer 110. A lithography stack 151 is formed on the sacrificial layer 150 that includes one or more openings 154 that expose a portion of sacrificial layer 150. Sacrificial layer 150 may be composed of, for example, an organic planarization layer (OPL). Lithography stack 151 may include a plurality of lithographic etch layers, such as an anti-reflective coating (ARC) layer 152 and a patterned resist layer 153. The anti-reflective coating layer 152 may be composed, in one example, of silicon dioxide deposited by a low-pressure chemical-vapor deposition (LPCVD) process, or low temperature oxidation (LTO). In other examples, the anti-reflective coating layer 152 may be composed of silicon oxynitride, titanium oxide, or other suitable anti-reflective coating material. Opening 154 in lithography stack 151 corresponds to a space between source/drain regions 130, 135 in which a dielectric pillar is to be formed, as described further below.

[0018] With reference to FIGS. 4A and 4B in which like reference numerals refer to like features in FIGS. 3A and 3B and at a subsequent fabrication stage of the processing method, a trench 155 is etched in the sacrificial layer 150 between source/drain region 130 and source/drain region 135. The etching may be a selective etch process that is controlled to remove the exposed portion of sacrificial layer 150 and to terminate on the material of the dielectric liner 146. As used herein, the term "selective" in reference to a material removal process (e.g., etching) denotes that, with an appropriate etchant choice, the material removal rate (i.e., etch rate) for the targeted material is greater than the removal rate for at least another material exposed to the material removal process. In conventional fabrication processes, a trench is generally formed in an interlayer dielectric (ILD) material, such as silicon dioxide, and the trench is subsequently filled to form a pillar between the source/drain regions. Such conventional processes, however, generally require etching processes, such as wet etching techniques, that do not have a sufficiently high etch selectivity for the ILD material; that is, other portions of the structure are damaged by the etch process as the ILD material is removed. Etching trench 155 in sacrificial layer 150, however, allows for greater etch selectivity of the sacrificial layer 150 material relative to other materials and structures of structure 100. Additionally, as further described below, remaining portions of the sacrificial layer 150 may be easily etched and removed after dielectric pillar 165 has been formed in trench 155. Following formation of trench 155, the patterned resist layer 153 is stripped and the anti-reflective coating layer 152 is removed from over sacrificial layer 150, along with any additional layers of lithography stack 151.

[0019] With reference to FIGS. 5A and 5B in which like reference numerals refer to like features in FIGS. 4A and 4B and at a subsequent fabrication stage of the processing method, a dielectric material 160 is deposited over structure 100 to fill trench 155 and form a dielectric pillar 165. A dielectric liner 162 may also be formed on sidewalls of trench 155, as well as over a top surface of sacrificial layer 150, prior to deposition of dielectric material 160. Dielectric material 160 may be, for example, composed of an oxide of silicon, and the dielectric liner 162 may be composed, for example, a nitride of silicon.

[0020] With reference to FIGS. 6A and 6B in which like reference numerals refer to like features in FIGS. 5A and 5B and at a subsequent fabrication stage of the processing method, dielectric material 160 is polished, leaving dielectric pillar 165 in place over dielectric layer 110 and between source/drain regions 130, 135. Dielectric material 160 may be polished, for example, by a chemical-mechanical planarization (CMP) process that stops on dielectric liner 162.

[0021] With reference to FIGS. 7A and 7B in which like reference numerals refer to like features in FIGS. 6A and 6B and at a subsequent fabrication stage of the processing method, the dielectric liner 162 is etched and removed from over remaining portions of sacrificial layer 150, and the remaining portions of sacrificial layer 150 are removed, leaving the dielectric pillar 165 in place. The dielectric liner 162 may be etched, for example, by a reactive-ion etch (RIE) process controlled to terminate after a selected time period has elapsed.

[0022] With reference to FIGS. 8A and 8B in which like reference numerals refer to like features in FIGS. 7A and 7B and at a subsequent fabrication stage of the processing method, a sacrificial fill layer 170 is deposited over source/drain regions 130, 135 and other portions of structure 100. The fill layer 170 may be composed of amorphous silicon (.alpha.-Si), for example. Amorphous silicon may be commonly used as a fill layer in many fabrication processes, although amorphous silicon as a fill layer may leave voids 172 over portions of structure 100, such as over a source/drain regions 130, 135. In other fabrication processes, such voids 172 may subsequently trap deposited dielectric material, causing issues with subsequently opening the spaces over the source/drain regions 130, 135 and thus precipitating issues with subsequent trench silicidation steps during contact formation. In the processes described herein, however, any voids 172 in the fill layer 170 may be insignificant because such voids 172 are not exposed to a dielectric material and the fill layer 170 may be removed completely following replacement of temporary gate structures 140, 141 with replacement gate structures as described below, allowing for complete removal of the fill layer 170.

[0023] With reference to FIGS. 9A and 9B in which like reference numerals refer to like features in FIGS. 8A and 8B and at a subsequent fabrication stage of the processing method, the fill layer 170 and dielectric pillar 165 are planarized to be co-planar with top surfaces of hardmask layer 145 and then recessed below top surfaces of temporary gate structures 140, 141. A dielectric cap layer 175 is then deposited over the fill layer 170 and over the dielectric pillar 165. The fill layer 170 and dielectric pillar 165 may be planarized in a single chemical mechanical planarization step or may be planarized separately in multiple planarization steps. The dielectric pillar 165 may be recessed before the fill layer 170 is recessed, or the fill layer 170 may be recessed prior to recessing the dielectric pillar 165. Dielectric cap layer 175 may be deposited, for example, by a high-density plasma (HDP) deposition process, such as an HDP-CVD process. The dielectric cap layer 175 may be, for example, composed of an oxide-based dielectric material.

[0024] With reference to FIGS. 10A and 10B in which like reference numerals refer to like features in FIGS. 9A and 9B and at a subsequent fabrication stage of the processing method, the hardmask layer 145 is removed to expose temporary gate structures 140, and temporary gate structures 140 are removed. The hardmask layer 145 may be etched or planarized in a controlled process that is controlled to terminate when temporary gate structures 140, 141 are exposed. Dielectric cap layer 175 protects the dielectric pillar 165 and fill layer 170 from being damaged or removed as the temporary gate structures 140, 141 are being removed.

[0025] With reference to FIGS. 11A and 11B in which like reference numerals refer to like features in FIGS. 10A and 10B and at a subsequent fabrication stage of the processing method, functional gate structures 180 are formed to replace the temporary gate structures 140 and the functional gate structures 180 are capped with a dielectric gate cap material 185. The functional gate structures 180 may be metal gate structures that include a conformal gate dielectric, such as a high-k dielectric like hafnium oxide (HfO.sub.2), and one or more conformal barrier metal layers and/or work function metal layers, such as layers composed of titanium aluminum carbide (TiAlC) and/or titanium nitride (TiN), and a metal gate fill layer composed of a conductor, such as tungsten (W). Following formation of functional gate structures 180, the dielectric pillar 165, fill layer 170, hardmask layer 145 and dielectric gate cap material 185 may be planarized by, for example, a chemical-mechanical planarization (CMP) process. The temporary gate structures 140 represent placeholder structures that are replaced by functional gate structures 180. The functional gate structures 180 may be used to control output current (i.e., flow of carriers in the channel) of a field-effect transistor.

[0026] With reference to FIGS. 12A and 12B in which like reference numerals refer to like features in FIGS. 11A and 11B and at a subsequent fabrication stage of the processing method, fill layer 170 is removed, and a conductive layer 190 is formed over source/drain regions 130, 135. Fill layer 170 may be removed, for example, by a selective wet etch process that removes all exposed fill layer 170 to fully open and expose the source/drain regions 130, 135. The dielectric liner 146 may also be removed after fill layer 170 is removed, prior to deposition of the conductive layer 190. The dielectric liner 146 may, in alternative embodiments of the processes described herein, be removed from over source/drain regions 130, 135 during earlier processing steps, such as prior to the deposition of fill layer 170 as described above. The conductive layer 190 includes a portion in contact with source/drain region 130 and another portion in contact with the other source/drain region 135, with the two portions of the conductive layer 190 separated by the dielectric pillar 165. The conductive layer 190 may be a conductor formed, for example, via a silicidation process, and the different portions of the conductive layer 190 may provide respective contacts with the source/drain region 130, 135.

[0027] As described above in connection with FIGS. 2A-12A and 2B-12B, the dielectric pillar 165 is formed prior to depositing the fill layer 170 and accordingly before replacing the temporary gate structures 140, 141 with functional gate structures 180. As illustrated in FIGS. 13A-16A and 13B-16B and in alternative embodiments, however, the functional gate structures 180 may be formed first and the fill layer 170 removed prior to forming the dielectric pillar 165, as described further below.

[0028] With reference to FIGS. 13A and 13B in which like reference numerals refer to like features in FIGS. 2A and 2B, respectively, and at a subsequent fabrication stage of the processing method, a fill layer 170 is deposited over source/drain regions 130, 135 and other portions of structure 100. The fill layer 170 may be composed of amorphous silicon (.alpha.-Si), for example. As described above, voids 172 may form in fill layer 170.

[0029] With reference to FIGS. 14A and 14B in which like reference numerals refer to like features in FIGS. 13A and 13B and at a subsequent fabrication stage of the processing method, the fill layer 170 is recessed, temporary gate structures 140 are removed, and functional gate structures 180 and dielectric gate cap material 185 are formed to replace the temporary gate structures 140,141. The processes for planarizing and recessing fill layer 170 may be similar to the processes described above with respect to FIGS. 9A and 9B, except that separate steps for planarizing and recessing the dielectric pillar 165 are not needed because the dielectric pillar 165 is formed after fill layer 170 has been removed, as further described below. Processes for forming functional gate structures 180 may be similar to the processes described above and illustrated in FIGS. 10A, 10B and 11A, 11B.

[0030] With reference to FIGS. 15A and 15B in which like reference numerals refer to like features in FIGS. 14A and 14B and at a subsequent fabrication stage of the processing method, after fill layer 170 has been completely removed from structure 100, sacrificial layer 150 is formed over source/drain regions 130, 135 and dielectric layer 110. Lithography stack 151 is formed on the sacrificial layer 150 that includes one or more openings 154 that expose a portion or portions of the sacrificial layer 150. Lithography stack 151 may include a plurality of lithographic etch layers, such as an anti-reflective coating layer 152 and a patterned resist layer 153. The anti-reflective coating layer 152 may be composed, in one example, of silicon dioxide deposited by a low-pressure chemical-vapor deposition (LPCVD) process, or LTO. In other examples, the anti-reflective coating layer 152 may be composed of silicon oxynitride, titanium oxide, or other suitable anti-reflective coating material. Opening 154 in lithography stack 151 corresponds to a space between source/drain regions 130, 135 where a dielectric pillar 165 is to be formed.

[0031] With reference to FIGS. 16A and 16B in which like reference numerals refer to like features in FIGS. 15A and 15B and at a subsequent fabrication stage of the processing method, the dielectric pillar 165 is formed. The process for forming dielectric pillar 165, as well as dielectric liner 162, may be similar to the process described and illustrated in FIGS. 4A-6A and 4B-6B. After the dielectric pillar 165 is formed, the lithography stack 151 and remaining sacrificial layer 150 can be removed as described and illustrated in FIG. 7A, and conductive layer 190 may be provided over source/drain regions 130, 135 as described above, resulting in the structure depicted in FIGS. 12A and 12B.

[0032] FIGS. 17-21 illustrate an alternative process in which trench 155 in sacrificial layer 150 is etched in multiple stages, rather than in a single etch step, and which may be applied where the spacing between source/drain region 130 and source/drain region 135 is smaller than a critical dimension of the trench 155 that can be feasibly formed in a patterned resist layer such as patterned resist layer 153. FIGS. 22-24 illustrate another alternative process in which trench 155 is etched in multiple stages, including a partial etch of portions of source/drain regions 130 and 135 which may be applied where spacing between source/drain regions 130 and 135 is so small as to otherwise prevent fully etching trench 155 and forming a dielectric pillar 165 that contacts dielectric layer 110. The processes illustrated in FIGS. 17-21 or FIGS. 22-24 may be applied whether the dielectric pillar 165 is formed prior to formation of functional gate structures 180 or formed after formation of functional gate structures 180, as described above.

[0033] With respect to FIG. 17 in which like reference numerals refer to like features in FIGS. 3A and 3B and FIGS. 16A and 16B and at a subsequent fabrication stage of either processing method, an upper portion 155a of trench 155 is etched in sacrificial layer 150 by, for example, a selective anisotropic RIE process. The upper portion 155a of trench 155 exposes a portion of source/drain region 130 and a portion of source/drain region 135. The etching of the upper portion 155a of trench 155 may be controlled to terminate after a pre-determined depth of trench 155 has been removed and a desired portion of source/drain regions 130, 135 has been exposed. Thus, FIG. 17 illustrates one example of a depth for upper portion 155a of trench 155, but the etch process may be adjusted to expose lesser or greater portions of source/drain regions 130, 135.

[0034] With respect to FIG. 18 in which like reference numerals refer to like features in FIG. 17 and at a subsequent fabrication stage of the processing method, dielectric spacers 161 are formed on the sacrificial layer 150 at the sidewalls of the upper portion 155a of the trench 155. The dielectric spacers 161 may be formed, for example, depositing a conformal layer of a dielectric material by plasma-assisted atomic-layer deposition (PEALD) followed by an anisotropic RIE process. Dielectric spacers 161 may be composed of, for example, a nitride-based dielectric material such as silicon nitride.

[0035] With respect to FIG. 19 in which like reference numerals refer to like features in FIG. 18 and at a subsequent fabrication stage of the processing method, the sacrificial layer 150 is etched with an anisotropic ME process to form a lower portion 155b of trench 155 after the dielectric spacers 161 are formed. In alternative embodiments, the lower portion 155b of trench 155 may be etched by an isotropic ME process, which may remove remaining portions of sacrificial layer 150 beneath source/drain regions 130 and 135 to provide the structure of FIG. 20. Dielectric spacers 161 protect portions of sacrificial layer 150 over source/drain regions 130, 135 that are to remain unetched for formation of dielectric pillar 165 such that the size of the upper portion 155a of trench 155 is preserved.

[0036] With respect to FIG. 20 in which like reference numerals refer to like features in FIG. 19 and at a subsequent fabrication stage of the processing method, remaining portions of the sacrificial layer 150 are removed with an isotropic etch process, such as an isotropic RIE process, from beneath the source/drain regions 130, 135, which leaves spaces 157 adjacent to the lower portion 155b of trench 155. Dielectric spacers 161 again protect portions of sacrificial layer 150 over source/drain regions 130, 135 that are to remain unetched for formation of dielectric pillar 165.

[0037] With respect to FIG. 21 in which like reference numerals refer to like features in FIG. 20 and at a subsequent fabrication stage of the processing method, a dielectric liner 162 is disposed over dielectric spacers 161, sidewalls of the lower portion of trench 155, and exposed portions of source/drain region 130 and source/drain region 135. Dielectric pillar 165 may then be formed. As dielectric material 160 is deposited in trench 155, as described above, the material conforms to the shape of the trench 155, including the shapes of outer portions of source/drain regions 130, 135. The dielectric pillar 165 formed may thus "wrap around" and conform to a portion of source/drain regions 130 and a portion of source/drain region 135 as shown in FIG. 21. An upper portion of dielectric pillar 165 may thus have a larger width than a lower portion of dielectric pillar 165.

[0038] With respect to FIG. 22 in which like reference numerals refer to like features in FIGS. 3A and 3B and FIGS. 16A and 16B and at a subsequent fabrication stage of either processing method, an upper portion 155a of trench 155 is etched in sacrificial layer 150. The upper portion 155a of trench 155 exposes a portion of source/drain region 130 and a portion of source/drain region 135. Upper portion 155a of trench 155 may be etched, for example, by a selective anisotropic RIE process, as previously described, using a lithographic stack (not depicted in FIGS. 22-24 for simplicity) that exposes a portion of sacrificial layer 150. FIG. 22 also shows dielectric spacers 161 formed on sidewalls of the upper portion 155a of trench 155. The dielectric spacers 161 may be formed, for example, via a plasma-assisted atomic-layer deposition (PEALD) process that deposits the material of the dielectric spacers 161 conformally over structure 10 followed by an anisotropic RIE etch of the material of the dielectric spacers 161 to remove the material from over the sacrificial layer 150 and a bottom surface of trench 155, leaving the dielectric spacers 161 in place. Dielectric spacers 161 may be composed of, for example, a nitride-based dielectric material such as silicon nitride.

[0039] With respect to FIG. 23 in which like reference numerals refer to like features in FIG. 22 and at a subsequent fabrication stage of the processing method, outer portions of source/drain regions 130 and 135, which have been exposed by etching the upper portion 155a of trench 155, are etched and removed via a selective etch process, such as a selective anisotropic RIE process, to provide edges 130a and 135a. The material of sacrificial layer 150 in the lower portion 155b of trench 155, as well as in spaces 157 below source/drain regions 130, 135, may then be removed as described above and depicted in FIGS. 19 and 20. Etching the outer portions of source/drain region 130, 135 may help to ensure that the dielectric pillar 165 has a sufficient thickness between the source/drain regions 130, 135 to physically and electrically isolate the source/drain regions 130, 135 from one another and prevent electric shorts.

[0040] With respect to FIG. 24 in which like reference numerals refer to like features in FIG. 23 and at a subsequent fabrication stage of the processing method, a dielectric liner 162 is disposed over sidewalls of the second portion of trench 155 and over exposed portions of source/drain region 130 and source/drain region 135. Dielectric pillar 165 may then be formed. As dielectric material 160 is deposited in trench 155, as described above, the material conforms to the shape of the trench 155, including the shapes of outer portions of partially etched source/drain regions 130, 135. The dielectric pillar 165 formed may thus "wrap around" and conform to a portion of source/drain regions 130 and a portion of source/drain region 135 as shown in FIG. 23. An upper portion of dielectric pillar 165 may thus have a larger width than a lower portion of dielectric pillar 165.

[0041] The methods as described above are used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (e.g., as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. In the latter case, the chip is mounted in a single chip package (e.g., a plastic carrier, with leads that are affixed to a motherboard or other higher level carrier) or in a multichip package (e.g., a ceramic carrier that has either or both surface interconnections or buried interconnections). In any case, the chip may be integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either an intermediate product or an end product.

[0042] References herein to terms such as "vertical", "horizontal", etc. are made by way of example, and not by way of limitation, to establish a frame of reference. The term "horizontal" as used herein is defined as a plane parallel to a conventional plane of a semiconductor substrate, regardless of its actual three-dimensional spatial orientation. The terms "vertical" and "normal" refer to a direction perpendicular to the horizontal, as just defined. The term "lateral" refers to a direction within the horizontal plane. Terms such as "above" and "below" are used to indicate positioning of elements or structures relative to each other as opposed to relative elevation.

[0043] A feature "connected" or "coupled" to or with another element may be directly connected or coupled to the other element or, instead, one or more intervening elements may be present. A feature may be "directly connected" or "directly coupled" to another element if intervening elements are absent. A feature may be "indirectly connected" or "indirectly coupled" to another element if at least one intervening element is present.

[0044] The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

* * * * *

Patent Diagrams and Documents
D00000
D00001
D00002
D00003
D00004
D00005
D00006
D00007
D00008
D00009
D00010
D00011
D00012
D00013
D00014
D00015
D00016
D00017
D00018
D00019
D00020
D00021
D00022
D00023
D00024
D00025
D00026
D00027
D00028
D00029
D00030
XML
US20190295898A1 – US 20190295898 A1

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed