loadpatents
name:-0.31212592124939
name:-0.91278314590454
name:-0.34531998634338
Zang; Hui Patent Filings

Zang; Hui

Patent Applications and Registrations

Patent applications and USPTO patent grants for Zang; Hui.The latest application filed is for "fabrication process of vertical-channel, silicon, field-effect transistors".

Company Profile
200.200.200
  • Zang; Hui - Guilderland NY
  • Zang; Hui - San Jose CA
  • Zang; Hui - Cupertino CA
  • Zang; Hui - Santa Clara CA
  • Zang; Hui - Guiderland NY
  • Zang; Hui - Albany NY
  • Zang; Hui - Malta NY
  • ZANG; Hui - Beijing CN
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Transistor comprising an air gap positioned adjacent a gate electrode
Grant 11,456,382 - Economikos , et al. September 27, 2
2022-09-27
Dual floating diffusion transistor with vertical gate structure for image sensor
Grant 11,450,696 - Zang , et al. September 20, 2
2022-09-20
Single diffusion cut for gate structures
Grant 11,450,570 - Zang , et al. September 20, 2
2022-09-20
Split gate (SG) memory device and novel methods of making the SG-memory device
Grant 11,450,678 - Zang , et al. September 20, 2
2022-09-20
Formation of trench silicide source or drain contacts without gate damage
Grant 11,443,982 - Greene , et al. September 13, 2
2022-09-13
Middle of line structures
Grant 11,437,286 - Zang , et al. September 6, 2
2022-09-06
Fabrication Process Of Vertical-channel, Silicon, Field-effect Transistors
App 20220199665 - LIU; Yuanliang ;   et al.
2022-06-23
Flicker-mitigating Pixel-array Substrate
App 20220190019 - LIU; Yuanliang ;   et al.
2022-06-16
Flicker-mitigating Pixel-array Substrate
App 20220190009 - LIU; Yuanliang ;   et al.
2022-06-16
Light attenuation layer fabrication method and structure for image sensor
Grant 11,362,121 - Zang , et al. June 14, 2
2022-06-14
Vertical gate structure and layout in a CMOS image sensor
Grant 11,355,537 - Zang , et al. June 7, 2
2022-06-07
Electrical fuse formation during a multiple patterning process
Grant 11,348,870 - Shu , et al. May 31, 2
2022-05-31
Cell deep trench isolation structure for near infrared improvement
Grant 11,335,718 - Zang , et al. May 17, 2
2022-05-17
Pixel-array Substrate And Associated Method
App 20220130885 - ZANG; Hui ;   et al.
2022-04-28
Pointed-trench Pixel-array Substrate And Associated Fabrication Method
App 20220130886 - ZANG; Hui ;   et al.
2022-04-28
Pixel Formation Method
App 20220115431 - ZANG; Hui ;   et al.
2022-04-14
Pixel, associated image sensor, and method
Grant 11,302,727 - Zang , et al. April 12, 2
2022-04-12
Pixel, associated image sensor, and method
Grant 11,282,886 - Zang , et al. March 22, 2
2022-03-22
Method And Image Sensor With Vertical Transfer Gate And Buried Backside-illuminated Photodiodes
App 20220059587 - ZANG; Hui ;   et al.
2022-02-24
Image Sensor With Vertical Transfer Gate And Square Reset And Source Follower Layout
App 20220052103 - ZANG; Hui ;   et al.
2022-02-17
Cell Deep Trench Isolation Pyramid Structures For Cmos Image Sensors
App 20220052085 - Zang; Hui ;   et al.
2022-02-17
Deep trench isolation (DTI) structure for CMOS image sensor
Grant 11,244,979 - Zang , et al. February 8, 2
2022-02-08
Distributed Synchronous Training Architecture Using Stale Weights
App 20220027738 - Zang; Hui ;   et al.
2022-01-27
Cell Deep Trench Isolation Structure For Near Infrared Improvement
App 20220020790 - Zang; Hui ;   et al.
2022-01-20
Metal Deep Trench Isolation Biasing Solution
App 20220005849 - Zang; Hui ;   et al.
2022-01-06
Leveraging Lagging Gradients In Machine-learning Model Training
App 20210374544 - Zang; Hui ;   et al.
2021-12-02
Single Diffusion Cut For Gate Structures
App 20210351068 - ZANG; Hui ;   et al.
2021-11-11
Middle of line gate structures
Grant 11,171,237 - Shen , et al. November 9, 2
2021-11-09
Review machine learning system
Grant 11,138,514 - Hu , et al. October 5, 2
2021-10-05
Single diffusion cut for gate structures
Grant 11,127,623 - Zang , et al. September 21, 2
2021-09-21
FinFET device comprising a single diffusion break with an upper surface that is substantially coplanar with an upper surface of a fin
Grant 11,121,023 - Shu , et al. September 14, 2
2021-09-14
Semiconductor device with reduced gate height budget
Grant 11,114,542 - Zang , et al. September 7, 2
2021-09-07
Pixel And Associated Transfer-gate Fabrication Method
App 20210272994 - ZANG; Hui ;   et al.
2021-09-02
Knowledge network platform
Grant 11,100,406 - Hu , et al. August 24, 2
2021-08-24
Semiconductor devices with uniform gate height and method of forming same
Grant 11,094,827 - Shen , et al. August 17, 2
2021-08-17
Light Attenuation Layer Fabrication Method And Structure For Image Sensor
App 20210233947 - Zang; Hui ;   et al.
2021-07-29
Compiler-level General Matrix Multiplication Configuration Optimization
App 20210200521 - Zang; Hui ;   et al.
2021-07-01
Deep Trench Isolation (dti) Structure For Cmos Image Sensor
App 20210193702 - Zang; Hui ;   et al.
2021-06-24
Pixel, Associated Image Sensor, And Method
App 20210183937 - ZANG; Hui ;   et al.
2021-06-17
Gate Cut Isolation Including Air Gap, Integrated Circuit Including Same And Related Method
App 20210183997 - Yu; Hong ;   et al.
2021-06-17
Semiconductor structures over active region and methods of forming the structures
Grant 11,031,389 - Shu , et al. June 8, 2
2021-06-08
Novel Split Gate (sg) Memory Device And Novel Methods Of Making The Sg-memory Device
App 20210151451 - Zang; Hui ;   et al.
2021-05-20
Pixel, Associated Image Sensor, And Method
App 20210151494 - ZANG; Hui ;   et al.
2021-05-20
Pixel, Associated Image Sensor, And Method
App 20210151487 - ZANG; Hui ;   et al.
2021-05-20
Semiconductor device with recessed source/drain contacts and a gate contact positioned above the active region
Grant 11,011,604 - Zang , et al. May 18, 2
2021-05-18
Mask-free methods of forming structures in a semiconductor device
Grant 11,004,953 - Lee , et al. May 11, 2
2021-05-11
Methods, apparatus and system for a self-aligned gate cut on a semiconductor device
Grant 10,998,422 - Zang , et al. May 4, 2
2021-05-04
Vertical Gate Structure And Layout In A Cmos Image Sensor
App 20210118925 - Zang; Hui ;   et al.
2021-04-22
Middle of line structures
Grant 10,978,566 - Zang , et al. April 13, 2
2021-04-13
Gate cut isolation including air gap, integrated circuit including same and related method
Grant 10,971,583 - Yu , et al. April 6, 2
2021-04-06
Single diffusion break device for FDSOI
Grant 10,957,578 - Hong , et al. March 23, 2
2021-03-23
Asymmetric gate cut isolation for SRAM
Grant 10,950,610 - Paul , et al. March 16, 2
2021-03-16
Methods of forming air gaps between source/drain contacts and the resulting devices
Grant 10,950,692 - Xie , et al. March 16, 2
2021-03-16
Scaled Gate Contact And Source/drain Cap
App 20210066464 - ZANG; Hui ;   et al.
2021-03-04
Gate cut structures
Grant 10,937,786 - Zang , et al. March 2, 2
2021-03-02
Methods, apparatus and system for a local interconnect feature over an active region in a finFET device
Grant 10,937,693 - Xie , et al. March 2, 2
2021-03-02
Vertical resistor adjacent inactive gate over trench isolation
Grant 10,923,469 - Zang , et al. February 16, 2
2021-02-16
Personalized message priority classification
Grant 10,911,382 - Zang , et al. February 2, 2
2021-02-02
Asymmetric Gate Cut Isolation For Sram
App 20210020644 - Paul; Bipul C. ;   et al.
2021-01-21
Mask-free methods of forming structures in a semiconductor device
Grant 10,896,853 - Shu , et al. January 19, 2
2021-01-19
Scaled gate contact and source/drain cap
Grant 10,892,338 - Zang , et al. January 12, 2
2021-01-12
Device with highly active acceptor doping and method of production thereof
Grant 10,886,178 - Lee , et al. January 5, 2
2021-01-05
Mask-free Methods Of Forming Structures In A Semiconductor Device
App 20200411664 - LEE; RINUS TEK PO ;   et al.
2020-12-31
FinFET with etch-selective spacer and self-aligned contact capping layer
Grant 10,879,180 - Zang , et al. December 29, 2
2020-12-29
Vertically oriented metal silicide containing e-fuse device
Grant 10,879,171 - Wong , et al. December 29, 2
2020-12-29
Insulating gate separation structure for transistor devices
Grant 10,879,073 - Park , et al. December 29, 2
2020-12-29
Middle Of Line Structures
App 20200402861 - ZANG; Hui ;   et al.
2020-12-24
Spacer structures for a transistor device
Grant 10,872,979 - Zang , et al. December 22, 2
2020-12-22
Semiconductor Structures Over Active Region And Methods Of Forming The Structures
App 20200395356 - SHU; JIEHUI ;   et al.
2020-12-17
Semiconductor Devices With Uniform Gate Height And Method Of Forming Same
App 20200388707 - SHEN; YANPING ;   et al.
2020-12-10
Shaped Gate Caps In Spacer-lined Openings
App 20200357647 - Shen; Yanping ;   et al.
2020-11-12
Spacer structures on transistor devices
Grant 10,833,171 - Shen , et al. November 10, 2
2020-11-10
Tapered fin-type field-effect transistors
Grant 10,832,967 - Zang , et al. November 10, 2
2020-11-10
Formation of enhanced faceted raised source/drain EPI material for transistor devices
Grant 10,825,897 - Hong , et al. November 3, 2
2020-11-03
Shaped gate caps in dielectric-lined openings
Grant 10,825,910 - Zang , et al. November 3, 2
2020-11-03
Methods, apparatus, and manufacturing system for FinFET devices with reduced parasitic capacitance
Grant 10,825,913 - Zang , et al. November 3, 2
2020-11-03
Methods of forming single diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products
Grant 10,825,741 - Zang , et al. November 3, 2
2020-11-03
Mask-free Methods Of Forming Structures In A Semiconductor Device
App 20200343142 - SHU; JIEHUI ;   et al.
2020-10-29
Shaped gate caps in spacer-lined openings
Grant 10,818,498 - Shen , et al. October 27, 2
2020-10-27
FinFET having upper spacers adjacent gate and source/drain contacts
Grant 10,818,659 - Wang , et al. October 27, 2
2020-10-27
Spacer Structures On Transistor Devices
App 20200335600 - Shen; Yanping ;   et al.
2020-10-22
Middle Of Line Gate Structures
App 20200335619 - SHEN; Yanping ;   et al.
2020-10-22
Shaped Gate Caps In Dielectric-lined Openings
App 20200335594 - Zang; Hui ;   et al.
2020-10-22
Electrical Fuse Formation During A Multiple Patterning Process
App 20200335435 - Shu; Jiehui ;   et al.
2020-10-22
Middle of line structures
Grant 10,811,319 - Zang , et al. October 20, 2
2020-10-20
Method of manufacturing FinFET with reduced parasitic capacitance and FinFET structure formed thereby
Grant 10,811,409 - Shu , et al. October 20, 2
2020-10-20
Semiconductor recess to epitaxial regions and related integrated circuit structure
Grant 10,811,422 - Shen , et al. October 20, 2
2020-10-20
FinFET device and method of manufacturing
Grant 10,804,379 - Zang , et al. October 13, 2
2020-10-13
FinFET structure with dielectric bar containing gate to reduce effective capacitance, and method of forming same
Grant 10,797,049 - Zang , et al. October 6, 2
2020-10-06
Resistor structure for integrated circuit, and related methods
Grant 10,797,046 - Shu , et al. October 6, 2
2020-10-06
Semiconductor Device Having A Barrier Layer Made Of Two Dimensional Materials
App 20200312775 - LEE; RINUS TEK PO ;   et al.
2020-10-01
Resistor Structure For Integrated Circuit, And Related Methods
App 20200312947 - Shu; Jiehui ;   et al.
2020-10-01
Single Diffusion Cut For Gate Structures
App 20200312718 - ZANG; Hui ;   et al.
2020-10-01
IC structure with metal cap on cobalt layer and methods of forming same
Grant 10,790,363 - Economikos , et al. September 29, 2
2020-09-29
Semiconductor Structures With A Protective Liner And Methods Of Forming The Same
App 20200303247 - SHU; JIEHUI ;   et al.
2020-09-24
Forming Two Portion Spacer After Metal Gate And Contact Formation, And Related Ic Structure
App 20200303261 - Shen; Yanping ;   et al.
2020-09-24
Electrical fuse formation during a multiple patterning process
Grant 10,784,195 - Shu , et al. Sept
2020-09-22
Trench isolation preservation during transistor fabrication
Grant 10,784,143 - Wang , et al. Sept
2020-09-22
Formation of enhanced faceted raised source/drain epi material for transistor devices
Grant 10,777,642 - Hong , et al. Sept
2020-09-15
Integrated circuit product with a multi-layer single diffusion break and methods of making such products
Grant 10,777,637 - Yu , et al. Sept
2020-09-15
Transistor with a gate structure comprising a tapered upper surface
Grant 10,763,176 - Zang , et al. Sep
2020-09-01
Faceted epitaxial source/drain regions
Grant 10,756,184 - Mulfinger , et al. A
2020-08-25
Wraparound contact surrounding source/drain regions of integrated circuit structures and method of forming same
Grant 10,741,656 - Zang , et al. A
2020-08-11
FinFET having insulating layers between gate and source/drain contacts
Grant 10,741,451 - Zang , et al. A
2020-08-11
Trench Isolation Preservation During Transistor Fabrication
App 20200251377 - Kind Code
2020-08-06
FinFET with high-k spacer and self-aligned contact capping layer
Grant 10,734,233 - Zang , et al.
2020-08-04
Formation Of Enhanced Faceted Raised Source/drain Epi Material For Transistor Devices
App 20200243645 - Hong; Wei ;   et al.
2020-07-30
Formation Of Enhanced Faceted Raised Source/drain Epi Material For Transistor Devices
App 20200243646 - Hong; Wei ;   et al.
2020-07-30
Integrated Circuit Product With A Multi-layer Single Diffusion Break And Methods Of Making Such Products
App 20200243643 - Yu; Hong ;   et al.
2020-07-30
Late gate cut using selective conductor deposition
Grant 10,727,067 - Zang , et al.
2020-07-28
Integrated gate contact and cross-coupling contact formation
Grant 10,727,136 - Zang , et al.
2020-07-28
Vertical Resistor Adjacent Inactive Gate Over Trench Isolation
App 20200227404 - Zang; Hui ;   et al.
2020-07-16
Isolation Structures Of Finfet Semiconductor Devices
App 20200227323 - ZANG; HUI ;   et al.
2020-07-16
Etch stop layer for use in forming contacts that extend to multiple depths
Grant 10,714,577 - Hong , et al.
2020-07-14
Gate structure for a transistor device with a novel pillar structure positioned thereabove
Grant 10,714,591 - Xie , et al.
2020-07-14
Gate cut isolation formed as layer against sidewall of dummy gate mandrel
Grant 10,707,206 - Zang , et al.
2020-07-07
Method, apparatus, and system for improved gate connections on isolation structures in FinFET devices
Grant 10,707,207 - Zang , et al.
2020-07-07
Method, apparatus, and system for improving scaling of isolation structures for gate, source, and/or drain contacts
Grant 10,707,303 - Wang , et al.
2020-07-07
Semiconductor Structure With Shaped Trench And Methods Of Forming The Same
App 20200211903 - SHU; JIEHUI ;   et al.
2020-07-02
Late gate cut using selective dielectric deposition
Grant 10,699,957 - Zang , et al.
2020-06-30
Vertical-transport field-effect transistors having gate contacts located over the active region
Grant 10,699,942 - Xie , et al.
2020-06-30
Gate Contact Structures And Cross-coupled Contact Structures For Transistor Devices
App 20200203497 - Xie; Ruilong ;   et al.
2020-06-25
Interconnects with variable space mandrel cuts formed by block patterning
Grant 10,692,812 - Srivastava , et al.
2020-06-23
IC structure with air gap adjacent to gate structure and methods of forming same
Grant 10,692,987 - Wang , et al.
2020-06-23
Self-aligned Cuts In An Interconnect Structure
App 20200194306 - Xie; Ruilong ;   et al.
2020-06-18
Self-aligned cuts in an interconnect structure
Grant 10,685,874 - Xie , et al.
2020-06-16
Gate structures
Grant 10,685,840 - Shu , et al.
2020-06-16
Methods, apparatus, and manufacturing system for self-aligned patterning of contacts in a semiconductor device
Grant 10,685,881 - Zang , et al.
2020-06-16
Single Diffusion Cut For Gate Structures
App 20200185266 - ZANG; Hui ;   et al.
2020-06-11
Methods, Apparatus And System For A Self-aligned Gate Cut On A Semiconductor Device
App 20200185509 - Zang; Hui ;   et al.
2020-06-11
Novel Gate Structure For A Transistor Device With A Novel Pillar Structure Positioned Thereabove
App 20200176587 - Xie; Ruilong ;   et al.
2020-06-04
Single Diffusion Cut For Gate Structures
App 20200176444 - XU; Guowei ;   et al.
2020-06-04
Late Gate Cut Using Selective Conductor Deposition
App 20200176258 - Zang; Hui ;   et al.
2020-06-04
Middle Of Line Structures
App 20200176324 - ZANG; Hui ;   et al.
2020-06-04
Late Gate Cut Using Selective Dielectric Deposition
App 20200168509 - Zang; Hui ;   et al.
2020-05-28
Novel Spacer Structures For A Transistor Device
App 20200168731 - Zang; Hui ;   et al.
2020-05-28
Wrap-around contact surrounding epitaxial regions of integrated circuit structures and method of forming same
Grant 10,665,590 - Xie , et al.
2020-05-26
Methods Of Forming Single Diffusion Breaks On Integrated Circuit Products Comprised Of Finfet Devices And The Resulting Products
App 20200161190 - Zang; Hui ;   et al.
2020-05-21
Semiconductor Recess To Epitaxial Regions And Related Integrated Circuit Structure
App 20200161315 - Shen; Yanping ;   et al.
2020-05-21
Gate Cut Isolation Formed As Layer Against Sidewall Of Dummy Gate Mandrel
App 20200161296 - Zang; Hui ;   et al.
2020-05-21
Gate Structures
App 20200161136 - SHU; Jiehui ;   et al.
2020-05-21
Scheduling graph computing on heterogeneous processing resources based on energy efficiency
Grant 10,656,970 - Xia , et al.
2020-05-19
Formation Of Trench Silicide Source Or Drain Contacts Without Gate Damage
App 20200152509 - Greene; Andrew ;   et al.
2020-05-14
Integrated Gate Contact And Cross-coupling Contact Formation
App 20200152518 - Zang; Hui ;   et al.
2020-05-14
Gate Cut Isolation Including Air Gap, Integrated Circuit Including Same And Related Method
App 20200152736 - Yu; Hong ;   et al.
2020-05-14
Middle Of Line Structures
App 20200152749 - ZANG; Hui ;   et al.
2020-05-14
Single diffusion cut for gate structures
Grant 10,651,173 - Xu , et al.
2020-05-12
Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
Grant 10,651,284 - Xie , et al.
2020-05-12
Faceted Epitaxial Source/drain Regions
App 20200144365 - MULFINGER; George R. ;   et al.
2020-05-07
Insulating Gate Separation Structure For Transistor Devices
App 20200135473 - Park; Chanro ;   et al.
2020-04-30
Finfet Structure With Dielectric Bar Containing Gate To Reduce Effective Capacitance, And Method Of Forming Same
App 20200135723 - Zang; Hui ;   et al.
2020-04-30
Scaled Gate Contact And Source/drain Cap
App 20200135872 - ZANG; Hui ;   et al.
2020-04-30
Replacement metal gate with reduced shorting and uniform chamfering
Grant 10,636,893 - Zang , et al.
2020-04-28
Chamfered replacement gate structures
Grant 10,636,890 - Wang , et al.
2020-04-28
Fin-type transistors with spacers on the gates
Grant 10,636,894 - Shen , et al.
2020-04-28
Transistor With A Gate Structure Comprising A Tapered Upper Surface
App 20200126863 - Zang; Hui ;   et al.
2020-04-23
Ic Structure With Air Gap Adjacent To Gate Structure And Methods Of Forming Same
App 20200127109 - Wang; Haiting ;   et al.
2020-04-23
Integrated circuit structure having gate contact and method of forming same
Grant 10,629,532 - Zang , et al.
2020-04-21
Gate contact and cross-coupling contact formation
Grant 10,629,694 - Zang , et al.
2020-04-21
FinFET structure with bulbous upper insulative cap portion to protect gate height, and related method
Grant 10,629,707 - Zang , et al.
2020-04-21
Methods of forming spacers adjacent gate structures of a transistor device
Grant 10,629,739 - Zang , et al.
2020-04-21
Self-aligned gate cut method and multilayer gate-cut pillar structure
Grant 10,629,701 - Xie , et al.
2020-04-21
Finfet Having Upper Spacers Adjacent Gate And Source/drain Contacts
App 20200119000 - Wang; Haiting ;   et al.
2020-04-16
Wrap-around Contact Surrounding Epitaxial Regions Of Integrated Circuit Structures And Method Of Forming Same
App 20200119002 - XIE; RUILONG ;   et al.
2020-04-16
Self-aligned Gate Cut Method And Multilayer Gate-cut Pillar Structure
App 20200119163 - Xie; Ruilong ;   et al.
2020-04-16
Method Of Manufacturing Finfet With Reduced Parasitic Capacitance And Finfet Structure Formed Thereby
App 20200119001 - Shu; Jiehui ;   et al.
2020-04-16
Method, apparatus and system for improved performance using tall fins in finFET devices
Grant 10,622,463 - Zang , et al.
2020-04-14
Finfet Having Insulating Layers Between Gate And Source/drain Contacts
App 20200111713 - Zang; Hui ;   et al.
2020-04-09
Methods, Apparatus And System For A Local Interconnect Feature Over An Active Region In A Finfet Device
App 20200105597 - Xie; Ruilong ;   et al.
2020-04-02
Etch Stop Layer For Use In Forming Contacts That Extend To Multiple Depths
App 20200105886 - Hong; Wei ;   et al.
2020-04-02
Single Diffusion Break Device For Fdsoi
App 20200105584 - HONG; Wei ;   et al.
2020-04-02
Methods, Apparatus, And Manufacturing System For Finfet Devices With Reduced Parasitic Capacitance
App 20200105905 - Zang; Hui ;   et al.
2020-04-02
Middle of line structures
Grant 10,607,893 - Zang , et al.
2020-03-31
Methods for chamfering work function material layers in gate cavities having varying widths
Grant 10,600,876 - Xu , et al.
2020-03-24
Isolation pillar first gate structures and methods of forming same
Grant 10,600,914 - Zhao , et al.
2020-03-24
Gate Cut Structures
App 20200091143 - ZANG; Hui ;   et al.
2020-03-19
Integrated circuits having converted self-aligned epitaxial etch stop
Grant 10,593,757 - Shu , et al.
2020-03-17
Oxide Spacer In A Contact Over Active Gate Finfet And Method Of Production Thereof
App 20200083363 - ZANG; Hui ;   et al.
2020-03-12
Spacer chamfering gate stack scheme
Grant 10,586,855 - Cho , et al.
2020-03-10
Method of manufacturing finfet devices using narrow and wide gate cut openings in conjunction with a replacement metal gate process
Grant 10,586,860 - Shu , et al.
2020-03-10
Hybrid fin cut with improved fin profiles
Grant 10,586,736 - Wang , et al.
2020-03-10
Wraparound Contact Surrounding Source/drain Regions Of Integrated Circuit Structures And Method Of Forming Same
App 20200075738 - Zang; Hui ;   et al.
2020-03-05
Methods Of Forming Air Gaps Between Source/drain Contacts And The Resulting Devices
App 20200075715 - Xie; Ruilong ;   et al.
2020-03-05
Methods of making a self-aligned gate contact structure and source/drain metallization structures on integrated circuit products
Grant 10,580,701 - Zang , et al.
2020-03-03
Integrated single diffusion break
Grant 10,580,685 - Zang , et al.
2020-03-03
Middle of line structures
Grant 10,580,875 - Zang , et al.
2020-03-03
Methods, Apparatus, And Manufacturing System For Self-aligned Patterning Of Contacts In A Semiconductor Device
App 20200066588 - Zang; Hui ;   et al.
2020-02-27
Device With Highly Active Acceptor Doping And Method Of Production Thereof
App 20200066593 - LEE; Tek Po Rinus ;   et al.
2020-02-27
Replacement Metal Gate With Reduced Shorting And Uniform Chamfering
App 20200066879 - ZANG; Hui ;   et al.
2020-02-27
Finfets Having Gates Parallel To Fins
App 20200066883 - Shen; Yanping ;   et al.
2020-02-27
Transistor Comprising An Air Gap Positioned Adjacent A Gate Electrode
App 20200066899 - Economikos; Laertis ;   et al.
2020-02-27
Oxide spacer in a contact over active gate finFET and method of production thereof
Grant 10,573,753 - Zang , et al. Feb
2020-02-25
Gate cut method after source/drain metallization
Grant 10,566,201 - Park , et al. Feb
2020-02-18
Gate structures of FinFET semiconductor devices
Grant 10,566,202 - Shu , et al. Feb
2020-02-18
Tapered Fin-type Field-effect Transistors
App 20200051868 - Zang; Hui ;   et al.
2020-02-13
Methods, Apparatus, And System To Control Gate Height And Cap Thickness Across Multiple Gates
App 20200052106 - Economikos; Laertis ;   et al.
2020-02-13
Wrap-all-around contact for nanosheet-FET and method of forming same
Grant 10,559,656 - Bourjot , et al. Feb
2020-02-11
Methods of forming gate contact over active region for vertical FinFET, and structures formed thereby
Grant 10,559,686 - Xie , et al. Feb
2020-02-11
Field-effect Transistors With Improved Dielectric Gap Fill
App 20200043779 - Hong; Wei ;   et al.
2020-02-06
Ic Structure With Metal Cap On Cobalt Layer And Methods Of Forming Same
App 20200044034 - Economikos; Laertis ;   et al.
2020-02-06
Methods, apparatus and system for a self-aligned gate cut on a semiconductor device
Grant 10,553,698 - Zang , et al. Fe
2020-02-04
Field effect transistors with self-aligned metal plugs and methods
Grant 10,553,486 - Zang , et al. Fe
2020-02-04
FinFETs having gates parallel to fins
Grant 10,553,707 - Shen , et al. Fe
2020-02-04
Field Effect Transistors With Self-aligned Metal Plugs And Methods
App 20200035555 - Zang; Hui ;   et al.
2020-01-30
Integrated Single Diffusion Break
App 20200035543 - ZANG; Hui ;   et al.
2020-01-30
Metal resistors integrated into poly-open-chemical-mechanical-polishing (POC) module and method of production thereof
Grant 10,546,853 - Economikos , et al. Ja
2020-01-28
Field-effect transistors with improved dielectric gap fill
Grant 10,546,775 - Hong , et al. Ja
2020-01-28
Methods Of Forming Spacers Adjacent Gate Structures Of A Transistor Device
App 20200027979 - Zang; Hui ;   et al.
2020-01-23
Vertically Oriented Metal Silicide Containing E-fuse Device
App 20200020631 - Wong; Chun Yu ;   et al.
2020-01-16
Composite Spacers For Tailoring The Shape Of The Source And Drain Regions Of A Field-effect Transistor
App 20200020770 - Qi; Yi ;   et al.
2020-01-16
Using Source/drain Contact Cap During Gate Cut
App 20200020687 - Wang; Haiting ;   et al.
2020-01-16
Method for forming replacement air gap
Grant 10,535,771 - Economikos , et al. Ja
2020-01-14
Stereoscopic learning for classification
Grant 10,528,889 - Yu , et al. J
2020-01-07
Method of manufacturing a vertical SRAM with cross-coupled contacts penetrating through common gate structures
Grant 10,529,724 - Zang , et al. J
2020-01-07
Using source/drain contact cap during gate cut
Grant 10,522,538 - Wang , et al. Dec
2019-12-31
Performing concurrent diffusion break, gate and source/drain contact cut etch processes
Grant 10,522,410 - Economikos , et al. Dec
2019-12-31
Different upper and lower spacers for contact
Grant 10,522,644 - Xu , et al. Dec
2019-12-31
Methods, apparatus and system for stringer defect reduction in a trench cut region of a finFET device
Grant 10,522,639 - Zang , et al. Dec
2019-12-31
Method For Forming Replacement Air Gap
App 20190393335 - Economikos; Laertis ;   et al.
2019-12-26
Metal Resistors Integrated Into Poly-open-chemical-mechanical-polishing (poc) Module And Method Of Production Thereof
App 20190393212 - Economikos; Laertis ;   et al.
2019-12-26
Methods Of Forming Gate Contact Over Active Region For Vertical Finfet, And Structures Formed Thereby
App 20190393342 - Xie; Ruilong ;   et al.
2019-12-26
Different Upper And Lower Spacers For Contact
App 20190393321 - Xu; Guowei ;   et al.
2019-12-26
Vertically oriented metal silicide containing e-fuse device and methods of making same
Grant 10,510,662 - Wong , et al. Dec
2019-12-17
Resistor within single diffusion break, and related method
Grant 10,510,749 - Zang , et al. Dec
2019-12-17
Hybrid Fin Cut With Improved Fin Profiles
App 20190378763 - WANG; Haiting ;   et al.
2019-12-12
Semiconductor Device With Improved Gate-source/drain Metallization Isolation
App 20190378722 - Economikos; Laertis ;   et al.
2019-12-12
Finfet Device Comprising A Single Diffusion Break With An Upper Surface That Is Substantially Coplanar With An Upper Surface Of
App 20190378751 - Shu; Jiehui ;   et al.
2019-12-12
Transistor Fins With Different Thickness Gate Dielectric
App 20190371796 - Zang; Hui ;   et al.
2019-12-05
Semiconductor Device With Reduced Gate Height Budget
App 20190371905 - ZANG; Hui ;   et al.
2019-12-05
SRAM structure with alternate gate pitches
Grant 10,497,692 - Zang , et al. De
2019-12-03
Transistors Having Double Spacers At Tops Of Gate Conductors
App 20190363174 - Zang; Hui ;   et al.
2019-11-28
Finfet Structure With Bulbous Upper Insulative Cap Portion To Protect Gate Height, And Related Method
App 20190363180 - Zang; Hui ;   et al.
2019-11-28
Gate contact structures and cross-coupled contact structures for transistor devices
Grant 10,490,455 - Xie , et al. Nov
2019-11-26
Finfet Device And Method Of Manufacturing
App 20190355838 - ZANG; Hui ;   et al.
2019-11-21
Interconnects With Variable Space Mandrel Cuts Formed By Block Patterning
App 20190355658 - Srivastava; Ravi Prakash ;   et al.
2019-11-21
Methods, Apparatus, And System For A Semiconductor Device Comprising Gates With Short Heights
App 20190355615 - Shu; Jiehui ;   et al.
2019-11-21
Devices and methods of forming unmerged epitaxy for FinFet device
Grant 10,483,377 - Zang , et al. Nov
2019-11-19
Methods For Chamfering Work Function Material Layers In Gate Cavities Having Varying Widths
App 20190348508 - Xu; Guowei ;   et al.
2019-11-14
Chamfered Replacement Gate Structures
App 20190348517 - WANG; Haiting ;   et al.
2019-11-14
Transistor fins with different thickness gate dielectric
Grant 10,475,791 - Zang , et al. Nov
2019-11-12
Method for forming single diffusion breaks between finFET devices and the resulting devices
Grant 10,475,693 - Shu , et al. Nov
2019-11-12
Scaled memory structures or other logic devices with middle of the line cuts
Grant 10,475,890 - Wang , et al. Nov
2019-11-12
Method of forming gate-all-around (GAA) FinFET and GAA FinFET formed thereby
Grant 10,475,899 - Xie , et al. Nov
2019-11-12
Method For Forming And Trimming Gate Cut Structure
App 20190341468 - Zang; Hui ;   et al.
2019-11-07
Wrap-all-around Contact For Nanosheet-fet And Method Of Forming Same
App 20190341448 - Bourjot; Emilie M.S. ;   et al.
2019-11-07
A Method Of Manufacturing Finfet Devices Using Narrow And Wide Gate Cut Openings In Conjuction With A Replacement Metal Gate Pro
App 20190341475 - Shu; Jiehui ;   et al.
2019-11-07
Self-aligned single diffusion break isolation with reduction of strain loss
Grant 10,468,481 - Wang , et al. No
2019-11-05
Integrated Circuits Having Converted Self-aligned Epitaxial Etch Stop
App 20190333993 - Shu; Jiehui ;   et al.
2019-10-31
Epitaxial region for embedded source/drain region having uniform thickness
Grant 10,461,155 - Yong , et al. Oc
2019-10-29
Methods, apparatus, and manufacturing system for forming source and drain regions in a vertical field effect transistor
Grant 10,461,173 - Jacob , et al. Oc
2019-10-29
Vertical-transport Field-effect Transistors Having Gate Contacts Located Over The Active Region
App 20190326165 - Xie; Ruilong ;   et al.
2019-10-24
Electrical Fuse Formation During A Multiple Patterning Process
App 20190326209 - Shu; Jiehui ;   et al.
2019-10-24
Methods, Apparatus And System For Stringer Defect Reduction In A Trench Cut Region Of A Finfet Device
App 20190326408 - Zang; Hui ;   et al.
2019-10-24
Performing Concurrent Diffusion Break, Gate And Source/drain Contact Cut Etch Processes
App 20190326177 - Economikos; Laertis ;   et al.
2019-10-24
Methods, Apparatus And System For A Self-aligned Gate Cut On A Semiconductor Device
App 20190319112 - Zang; Hui ;   et al.
2019-10-17
Self-aligned Multiple Patterning Processes With Layered Mandrels
App 20190318931 - Shu; Jiehui ;   et al.
2019-10-17
Self-aligned multiple patterning processes with layered mandrels
Grant 10,446,395 - Shu , et al. Oc
2019-10-15
Gate contact structures and self-aligned contact process
Grant 10,446,654 - Zang , et al. Oc
2019-10-15
Devices with contact-to-gate shorting through conductive paths between fins and fabrication methods
Grant 10,438,955 - Zang , et al. O
2019-10-08
Fins with single diffusion break facet improvement using epitaxial insulator
Grant 10,439,026 - Wong , et al. O
2019-10-08
Gate Skirt Oxidation For Improved Finfet Performance And Method For Producing The Same
App 20190305105 - GAO; Qun ;   et al.
2019-10-03
Insulating gate separation structure
Grant 10,431,499 - Xu , et al. O
2019-10-01
Contacts Formed With Self-aligned Cuts
App 20190295898 - Xie; Ruilong ;   et al.
2019-09-26
Semiconductor Device With Recessed Source/drain Contacts And A Gate Contact Positioned Above The Active Region
App 20190296108 - Zang; Hui ;   et al.
2019-09-26
Semiconductor memory devices having an undercut source/drain region
Grant 10,424,584 - Zang , et al. Sept
2019-09-24
Quasi-agentless cloud resource management
Grant 10,419,437 - Hu , et al. Sept
2019-09-17
Fin field-effect transistor (FinFET) and method of production thereof
Grant 10,418,285 - Zang , et al. Sept
2019-09-17
Methods, apparatus and system for stringer defect reduction in a trench cut region of a finFET device
Grant 10,418,455 - Zang , et al. Sept
2019-09-17
Memory array with buried bitlines below vertical field effect transistors of memory cells and a method of forming the memory array
Grant 10,418,365 - Zang , et al. Sept
2019-09-17
Methods, apparatus, and system for a semiconductor device comprising gates with short heights
Grant 10,418,272 - Shu , et al. Sept
2019-09-17
Fin-type Transistors With Spacers On The Gates
App 20190280105 - Shen; Yanping ;   et al.
2019-09-12
Replacement metal gate patterning for nanosheet devices
Grant 10,410,933 - Xie , et al. Sept
2019-09-10
Multiple gate length device with self-aligned top junction
Grant 10,410,929 - Zang , et al. Sept
2019-09-10
Forming single diffusion break and end isolation region after metal gate replacement, and related structure
Grant 10,403,548 - Zang , et al. Sep
2019-09-03
Semiconductor device with reduced gate height budget
Grant 10,403,734 - Zang , et al. Sep
2019-09-03
Semiconductor device with recessed source/drain contacts and a gate contact positioned above the active region
Grant 10,396,155 - Zang , et al. A
2019-08-27
Parasitic capacitance reducing contact structure in a finFET
Grant 10,396,183 - Wang , et al. A
2019-08-27
Test structure macro for monitoring dimensions of deep trench isolation regions and local trench isolation regions
Grant 10,396,000 - Yamashita , et al. A
2019-08-27
Finfet With High-k Spacer And Self-aligned Contact Capping Layer
App 20190259619 - Zang; Hui ;   et al.
2019-08-22
Middle Of Line Structures
App 20190259667 - ZANG; Hui ;   et al.
2019-08-22
Parasitic capacitance reducing contact structure in a finFET
Grant 10,388,769 - Wang , et al. A
2019-08-20
Parasitic capacitance reducing contact structure in a finFET
Grant 10,388,768 - Wang , et al. A
2019-08-20
Video Playback Energy Consumption Control
App 20190250690 - Wang; Jun ;   et al.
2019-08-15
Insulating Gate Separation Structure
App 20190244865 - Xu; Guowei ;   et al.
2019-08-08
Semiconductor device resistor structure
Grant 10,374,029 - Zang , et al.
2019-08-06
Contacts formed with self-aligned cuts
Grant 10,373,875 - Xie , et al.
2019-08-06
Methods of forming source/drain contact structures on integrated circuit products
Grant 10,373,877 - Wang , et al.
2019-08-06
Self-aligned Single Diffusion Break Isolation With Reduction Of Strain Loss
App 20190229183 - WANG; Haiting ;   et al.
2019-07-25
Middle Of Line Structures
App 20190221650 - ZANG; Hui ;   et al.
2019-07-18
Isolation Pillar First Gate Structures And Methods Of Forming Same
App 20190221661 - Zhao; Wei ;   et al.
2019-07-18
Vertical field effect transistor (VFET) having a self-aligned gate/gate extension structure and method
Grant 10,355,101 - Zang July 16, 2
2019-07-16
Multiple Gate Length Device With Self-aligned Top Junction
App 20190206743 - ZANG; Hui ;   et al.
2019-07-04
Semiconductor Memory Devices Having An Undercut Source/drain Region
App 20190198503 - ZANG; Hui ;   et al.
2019-06-27
Method of reducing fin width in FinFet SRAM array to mitigate low voltage strap bit fails
Grant 10,332,897 - Zhang , et al.
2019-06-25
Self-aligned gate contact and cross-coupling contact formation
Grant 10,326,002 - Zang , et al.
2019-06-18
Finfet With Etch-selective Spacer And Self-aligned Contact Capping Layer
App 20190164898 - ZANG; Hui ;   et al.
2019-05-30
Methods of forming a gate contact structure for a transistor
Grant 10,297,452 - Xie , et al.
2019-05-21
Methods of forming a gate structure-to-source/drain conductive contact and the resulting devices
Grant 10,297,504 - Zang , et al.
2019-05-21
Sample size estimator
Grant 10,296,628 - Yu , et al.
2019-05-21
Forming Single Diffusion Break And End Isolation Region After Metal Gate Replacement, And Related Structure
App 20190148242 - Zang; Hui ;   et al.
2019-05-16
Epitaxial Region For Embedded Source/drain Region Having Uniform Thickness
App 20190148492 - Yong; Yoong Hooi ;   et al.
2019-05-16
Gate Contact Structures And Cross-coupled Contact Structures For Transistor Devices
App 20190148240 - Xie; Ruilong ;   et al.
2019-05-16
Forming Contacts For Vfets
App 20190148494 - Xie; Ruilong ;   et al.
2019-05-16
VNW SRAM with trinity cross-couple PD/PU contact and method for producing the same
Grant 10,290,639 - Zang
2019-05-14
Circuit structures with vertically spaced transistors and fabrication methods
Grant 10,290,654 - Zang , et al.
2019-05-14
LDMOS finFET structures with shallow trench isolation inside the fin
Grant 10,290,712 - Ciavatti , et al.
2019-05-14
Self-aligned Gate Isolation
App 20190139830 - XIE; Ruilong ;   et al.
2019-05-09
Vertically Oriented Metal Silicide Containing E-fuse Device And Methods Of Making Same
App 20190139892 - Wong; Chun Yu ;   et al.
2019-05-09
Method of forming vertical field effect transistors with self-aligned gates and gate extensions and the resulting structure
Grant 10,283,621 - Xie , et al.
2019-05-07
Test structure macro for monitoring dimensions of deep trench isolation regions and local trench isolation regions
Grant 10,283,423 - Yamashita , et al.
2019-05-07
Ldmos Finfet Structures With Shallow Trench Isolation Inside The Fin
App 20190131406 - Ciavatti; Jerome ;   et al.
2019-05-02
Methods For Forming Ic Structure Having Recessed Gate Spacers And Related Ic Structures
App 20190131424 - Xu; Guowei ;   et al.
2019-05-02
Passive device structure and methods of making thereof
Grant 10,276,560 - Liu , et al.
2019-04-30
Method of forming a vertical field effect transistor (VFET) and a VFET structure
Grant 10,276,689 - Qi , et al.
2019-04-30
Self-aligned gate caps with an inverted profile
Grant 10,276,391 - Zang , et al.
2019-04-30
Method Of Forming Gate-all-around (gaa) Finfet And Gaa Finfet Formed Thereby
App 20190123160 - Xie; Ruilong ;   et al.
2019-04-25
Methods Of Forming Gate Contact Structures And Cross-coupled Contact Structures For Transistor Devices
App 20190123162 - Xie; Ruilong ;   et al.
2019-04-25
Forming contacts for VFETs
Grant 10,269,812 - Xie , et al.
2019-04-23
Selective SAC capping on fin field effect transistor structures and related methods
Grant 10,269,811 - Chi , et al.
2019-04-23
Stacked nanosheet field-effect transistor with air gap spacers
Grant 10,269,983 - Frougier , et al.
2019-04-23
Fins With Single Diffusion Break Facet Improvement Using Epitaxial Insulator
App 20190115426 - WONG; Chun Yu ;   et al.
2019-04-18
Methods, apparatus, and manufacturing system for self-aligned patterning of contacts in a vertical field effect transistor
Grant 10,263,122 - Zang , et al.
2019-04-16
Integrated Circuits Including A Static Random Access Memory Cell Having Enhanced Read/write Performance, Methods Of Forming The Integrated Circuits, And Methods Of Operating The Integrated Circuits
App 20190108873 - Zang; Hui ;   et al.
2019-04-11
Scaled Memory Structures Or Other Logic Devices With Middle Of The Line Cuts
App 20190109197 - WANG; Haiting ;   et al.
2019-04-11
Method Of Forming Vertical Field Effect Transistors With Different Gate Lengths And A Resulting Structure
App 20190103319 - Qi; Yi ;   et al.
2019-04-04
Methods of forming a resistor structure between adjacent transistor gates on an integrated circuit product and the resulting devices
Grant 10,249,616 - Zang , et al.
2019-04-02
Method of forming vertical field effect transistors with different gate lengths and a resulting structure
Grant 10,249,538 - Qi , et al.
2019-04-02
Methods Of Forming A Gate Contact Structure For A Transistor
App 20190096677 - Xie; Ruilong ;   et al.
2019-03-28
Methods, Apparatus And System For Stringer Defect Reduction In A Trench Cut Region Of A Finfet Device
App 20190097015 - Zang; Hui ;   et al.
2019-03-28
Source/drain parasitic capacitance reduction in FinFET-based semiconductor structure having tucked fins
Grant 10,243,059 - Samavedan , et al.
2019-03-26
Semiconductor Device With Recessed Source/drain Contacts And A Gate Contact Positioned Above The Active Region
App 20190088742 - Zang; Hui ;   et al.
2019-03-21
Method Of Forming Vertical Field Effect Transistors With Self-aligned Gates And Gate Extensions And The Resulting Structure
App 20190088767 - Xie; Ruilong ;   et al.
2019-03-21
Methods of forming gate contact structures and cross-coupled contact structures for transistor devices
Grant 10,236,215 - Xie , et al.
2019-03-19
Gate cut structure with liner spacer and related method
Grant 10,236,213 - Pandey , et al.
2019-03-19
Vnw Sram With Trinity Cross-couple Pd/pu Contact And Method For Producing The Same
App 20190081049 - ZANG; Hui
2019-03-14
Nanosheet Transistor With Improved Inner Spacer
App 20190081155 - XIE; Ruilong ;   et al.
2019-03-14
Vertical-transport transistors with self-aligned contacts
Grant 10,230,000 - Bourjot , et al.
2019-03-12
Vertical Finfet With Improved Top Source/drain Contact
App 20190067474 - WONG; Chun Yu ;   et al.
2019-02-28
1,3,5-triazine Derivative And Method Of Using Same
App 20190062308 - ZHAO; Na ;   et al.
2019-02-28
Sram Structure With Alternate Gate Pitches
App 20190067262 - ZANG; Hui ;   et al.
2019-02-28
Double gate vertical FinFET semiconductor structure
Grant 10,217,864 - Zang , et al. Feb
2019-02-26
Vertical field effect transistor formation with critical dimension control
Grant 10,217,846 - Xie , et al. Feb
2019-02-26
Two-port vertical SRAM circuit structure and method for producing the same
Grant 10,211,206 - Zang , et al. Feb
2019-02-19
Vertical field-effect transistor having a dielectric spacer between a gate electrode edge and a self-aligned source/drain contact
Grant 10,211,315 - Zang , et al. Feb
2019-02-19
Vertical Field Effect Transistor (vfet) Having A Self-aligned Gate/gate Extension Structure And Method
App 20190051733 - Zang; Hui
2019-02-14
Vertical-transport Transistors With Self-aligned Contacts
App 20190051757 - Bourjot; Emilie ;   et al.
2019-02-14
Methods, apparatus and system for vertical finFET device with reduced parasitic capacitance
Grant 10,204,904 - Zang , et al. Feb
2019-02-12
Methods of forming features on integrated circuit products
Grant 10,204,784 - Gao , et al. Feb
2019-02-12
Method, Apparatus And System For Improved Performance Using Tall Fins In Finfet Devices
App 20190043965 - Zang; Hui ;   et al.
2019-02-07
Methods Of Forming A Gate Structure-to-source/drain Conductive Contact And The Resulting Devices
App 20190043758 - Zang; Hui ;   et al.
2019-02-07
Memory Array With Buried Bitlines Below Vertical Field Effect Transistors Of Memory Cells And A Method Of Forming The Memory Array
App 20190035791 - Zang; Hui ;   et al.
2019-01-31
Process for variable fin pitch and critical dimension
Grant 10,192,786 - Zang , et al. Ja
2019-01-29
Identifying influencers using social information
Grant 10,191,950 - Zang , et al. Ja
2019-01-29
Vertical Field-Effect Transistor having a Dielectric Spacer between a Gate Electrode Edge and a Self-Aligned Source/Drain Contact
App 20190027586 - Zang; Hui ;   et al.
2019-01-24
Semiconductor Device With Reduced Gate Height Budget
App 20190027575 - ZANG; Hui ;   et al.
2019-01-24
Vertical Sram Structure With Penetrating Cross-coupled Contacts
App 20190027483 - ZANG; Hui ;   et al.
2019-01-24
Method Of Reducing Fin Width In Finfet Sram Array To Mitigate Low Voltage Strap Bit Fails
App 20190019798 - Zhang; Xiaoqiang ;   et al.
2019-01-17
Single-diffusion break structure for fin-type field effect transistors
Grant 10,177,151 - Wang , et al. J
2019-01-08
Methods of forming a CT pillar between gate structures in a semiconductor
Grant 10,177,037 - Zang , et al. J
2019-01-08
Transistor structure having multiple n-type and/or p-type elongated regions intersecting under common gate
Grant 10,177,157 - Zang , et al. J
2019-01-08
Quasi-agentless Cloud Resource Management
App 20190007410 - HU; Luhui ;   et al.
2019-01-03
Integrated Circuit Structure Having Gate Contact And Method Of Forming Same
App 20190006280 - Zang; Hui ;   et al.
2019-01-03
Passive Device Structure And Methods Of Making Thereof
App 20190006350 - Liu; Bingwu ;   et al.
2019-01-03
Structure to prevent lateral epitaxial growth in semiconductor devices
Grant 10,170,482 - Pranatharthiharan , et al. J
2019-01-01
Forming long channel FinFET with short channel vertical FinFET and related integrated circuit
Grant 10,170,473 - Zang , et al. J
2019-01-01
Memory cell with recessed source/drain contacts to reduce capacitance
Grant 10,170,377 - Zang , et al. J
2019-01-01
Devices and methods for dynamically tunable biasing to backplates and wells
Grant 10,170,353 - Zang , et al. J
2019-01-01
Vertical Sram Structure
App 20180374857 - ZANG; Hui ;   et al.
2018-12-27
Single-diffusion Break Structure For Fin-type Field Effect Transistors
App 20180374851 - WANG; YANZHEN ;   et al.
2018-12-27
Finfet diffusion break having protective liner in fin insulator
Grant 10,164,010 - Hong , et al. Dec
2018-12-25
Asymmetric spacer for preventing epitaxial merge between adjacent devices of a semiconductor and related method
Grant 10,163,635 - Qi , et al. Dec
2018-12-25
Vertical SRAM structure
Grant 10,163,915 - Zang , et al. Dec
2018-12-25
Method of forming gate-all-around (GAA) FinFET and GAA FinFET formed thereby
Grant 10,164,041 - Xie , et al. Dec
2018-12-25
LDMOS FinFET structures with trench isolation in the drain extension
Grant 10,164,006 - Ciavatti , et al. Dec
2018-12-25
Method of reducing fin width in FinFET SRAM array to mitigate low voltage strap bit fails
Grant 10,163,914 - Zhang , et al. Dec
2018-12-25
Selective Sac Capping On Fin Field Effect Transistor Structures And Related Methods
App 20180366470 - Chi; Min-hwa ;   et al.
2018-12-20
Methods Of Forming An Air Gap Adjacent A Gate Structure Of A Finfet Device And The Resulting Devices
App 20180366553 - Zang; Hui ;   et al.
2018-12-20
Methods Of Forming A Resistor Structure Between Adjacent Transistor Gates On An Integrated Circuit Product And The Resulting Devices
App 20180366461 - Zang; Hui ;   et al.
2018-12-20
Semiconductor memory devices having an undercut source/drain region
Grant 10,157,927 - Zang , et al. Dec
2018-12-18
Method Of Forming A Vertical Field Effect Transistor (vfet) And A Vfet Structure
App 20180358452 - QI; YI ;   et al.
2018-12-13
Insulating gate separation structure and methods of making same
Grant 10,153,209 - Xu , et al. Dec
2018-12-11
Replacement Metal Gate Patterning For Nanosheet Devices
App 20180342427 - Xie; Ruilong ;   et al.
2018-11-29
Process For Variable Fin Pitch And Critical Dimension
App 20180330994 - ZANG; Hui ;   et al.
2018-11-15
Double Gate Vertical Finfet Semiconductor Structure
App 20180331212 - ZANG; Hui ;   et al.
2018-11-15
Methods, Apparatus And System For Vertical Finfet Device With Reduced Parasitic Capacitance
App 20180331097 - Zang; Hui ;   et al.
2018-11-15
Stacked Nanosheet Field-effect Transistor With Airgap Spacers
App 20180331232 - Frougier; Julien ;   et al.
2018-11-15
Fin-type Field Effect Transistors With Single-diffusion Breaks And Method
App 20180323191 - WANG; HAITING ;   et al.
2018-11-08
Ensemble Transfer Learning
App 20180314975 - Zang; Hui ;   et al.
2018-11-01
Methods Of Forming A Ct Pillar Between Gate Structures In A Semiconductor
App 20180308759 - ZANG; Hui ;   et al.
2018-10-25
Methods Of Forming Integrated Circuit Structures Including Opening Filled With Insulator In Metal Gate
App 20180286965 - Zang; Hui ;   et al.
2018-10-04
Knowledge Network Platform
App 20180285764 - Hu; Luhui ;   et al.
2018-10-04
Devices With Contact-to-gate Shorting Through Conductive Paths Between Fins And Fabrication Methods
App 20180286873 - ZANG; Hui ;   et al.
2018-10-04
Review Machine Learning System
App 20180276560 - Hu; Luhui ;   et al.
2018-09-27
Source/drain Parasitic Capacitance Reduction In Finfet-based Semiconductor Structure Having Tucked Fins
App 20180277655 - SAMAVEDAN; Srikanth Balaji ;   et al.
2018-09-27
Method Of Reducing Fin Width In Finfet Sram Array To Mitigate Low Voltage Strap Bit Fails
App 20180261605 - Zhang; Xiaoqiang ;   et al.
2018-09-13
Learning-based Resource Management In A Data Center Cloud Architecture
App 20180255122 - Hu; Luhui ;   et al.
2018-09-06
Buried Contact Structures For A Vertical Field-effect Transistor
App 20180254327 - Zang; Hui ;   et al.
2018-09-06
Unified Resource Management In A Data Center Cloud Architecture
App 20180255137 - Hu; Luhui ;   et al.
2018-09-06
Spacer Chamfering Gate Stack Scheme
App 20180240884 - Cho; Hyun-Jin ;   et al.
2018-08-23
Personalized Message Priority Classification
App 20180219817 - Zang; Hui ;   et al.
2018-08-02

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed