U.S. patent application number 13/084305 was filed with the patent office on 2011-10-20 for silicon nitride films and methods.
Invention is credited to Dennis M. Hausmann, Jon Henri, Mandyam Sriram, Bart J. van Schravendijk.
Application Number | 20110256734 13/084305 |
Document ID | / |
Family ID | 44788514 |
Filed Date | 2011-10-20 |
United States Patent
Application |
20110256734 |
Kind Code |
A1 |
Hausmann; Dennis M. ; et
al. |
October 20, 2011 |
SILICON NITRIDE FILMS AND METHODS
Abstract
Described are methods of making SiN materials on substrates,
particularly SiN thin films on semiconductor substrates. Improved
SiN films made by the methods are also included.
Inventors: |
Hausmann; Dennis M.; (Lake
Oswego, OR) ; Henri; Jon; (West Linn, OR) ;
Sriram; Mandyam; (Beaverton, OR) ; van Schravendijk;
Bart J.; (Sunnyvale, CA) |
Family ID: |
44788514 |
Appl. No.: |
13/084305 |
Filed: |
April 11, 2011 |
Related U.S. Patent Documents
|
|
|
|
|
|
Application
Number |
Filing Date |
Patent Number |
|
|
61324710 |
Apr 15, 2010 |
|
|
|
61372367 |
Aug 10, 2010 |
|
|
|
61379081 |
Sep 1, 2010 |
|
|
|
61417807 |
Nov 29, 2010 |
|
|
|
Current U.S.
Class: |
438/776 ;
257/E21.293 |
Current CPC
Class: |
H01L 21/67201 20130101;
H01L 21/0217 20130101; H01L 21/28562 20130101; C23C 16/045
20130101; C23C 16/56 20130101; C23C 16/345 20130101; H01L 21/02164
20130101; H01L 21/76224 20130101; H01L 21/76829 20130101; H01L
21/02274 20130101; C23C 16/45523 20130101; H01L 21/6719 20130101;
C23C 16/4554 20130101; C23C 16/402 20130101; H01L 21/76826
20130101; H01L 21/76837 20130101; H01L 21/76898 20130101; H01L
21/02348 20130101; H01L 21/0228 20130101; H01L 21/67017 20130101;
H01L 21/76825 20130101 |
Class at
Publication: |
438/776 ;
257/E21.293 |
International
Class: |
H01L 21/318 20060101
H01L021/318 |
Claims
1. A method of forming a silicon nitride material on a substrate,
comprising: (a) providing the substrate in a reaction chamber; (b)
continuously exposing the substrate to a vapor phase flow of a
nitrogen-containing reactant wherein the nitrogen-containing
reactant is adsorbed onto the surface of the substrate; (c)
periodically exposing the substrate to a vapor phase flow of a
silicon-containing reactant wherein the silicon-containing reactant
is adsorbed onto the surface of the substrate; and (d) periodically
igniting a plasma in the reaction chamber when the vapor phase flow
of the silicon-containing reactant has ceased.
2. The method of claim 1, further comprising continuously flowing a
carrier gas through the reaction chamber.
3. The method of claim 1 or 2, further comprising purging to remove
unabsorbed silicon-containing reactant after (c) but before
(d).
4. The method of claim 1, wherein the substrate is a semiconductor
wafer.
5. The method of claim 4, further comprising repeating (b) through
(d) to form a conformal layer on the semiconductor wafer between
about 1 nm and about 100 nm thick.
6. The method of claim 1, wherein the silicon-containing reactant
is selected from the group consisting of a silane, a halosilane and
an aminosilane, and mixtures thereof.
7. The method of claim 1, wherein the nitrogen-containing reactant
is selected from the group consisting of ammonia, a hydrazine, an
amine and mixtures thereof.
8. The method of claim 7, wherein the nitrogen-containing reactant
comprises a C.sub.1-10alkyl amine.
9. The method of claim 8, wherein the C.sub.1-10 alkyl amine is
tert-butyl amine.
10. The method of claim 8, wherein the silicon-containing reactant
is a monochlorosilane or a dichlorosilane.
11. The method of claim 4, wherein the semi-conductor wafer is
heated to between about 50.degree. C. and about 550.degree. C.
12. A method of forming a silicon nitride material on a substrate,
comprising: (a) forming a silicon nitride film on the substrate,
said formation comprising: (i) providing the substrate in a
reaction chamber; (ii) exposing the substrate to a
silicon-containing reactant in the vapor phase so that the
silicon-containing reactant is adsorbed onto the surface of the
substrate; (iii) exposing the substrate to a nitrogen-containing
reactant in the vapor phase so that the nitrogen-containing
reactant is adsorbed onto the surface of the substrate; (iv)
igniting a plasma while the nitrogen-containing reactant is present
in the vapor phase; and then, (b) exposing the silicon nitride film
to a hydrogen containing plasma to remove at least some carbon
content of the silicon nitride film.
13. The method of claim 12, wherein the substrate is a
semiconductor wafer.
14. The method of claim 12, wherein (ii) is performed prior to
(iii).
15. The method of claim 12, wherein (iii) is performed prior to
(ii).
16. The method of claim 13, wherein (a) is repeated two or more
times prior to (b).
17. The method of claim 13, further comprising repeating (a) and
(b) to form a conformal layer on the semiconductor wafer between
about 1 nm and about 100 nm thick.
18. The method of claim 12, wherein the silicon-containing reactant
is selected from the group consisting of a silane, a halosilane and
an aminosilane, and mixtures thereof.
19. The method of claim 12, wherein the nitrogen-containing
reactant comprises a C.sub.1-10alkyl amine.
20. The method of claim 18, wherein the silicon-containing reactant
is a chlorosilane.
21. The method of claim 12, further comprising continuously flowing
a carrier gas through the reaction chamber after (i).
22. The method of claim 13, wherein the semi-conductor wafer is
heated to between about 50.degree. C. and about 550.degree. C.
23. A method of forming a silicon nitride material on a substrate,
comprising: (a) providing the substrate in a reaction chamber; (b)
providing a carrier gas flow through the reaction chamber; (c)
exposing the substrate to a vapor phase flow of a
nitrogen-containing reactant wherein the nitrogen-containing
reactant is adsorbed onto the surface of the substrate and then
purging the reaction chamber; (d) exposing the substrate to a vapor
phase flow of a silicon-containing reactant wherein the
silicon-containing reactant is adsorbed onto the surface of the
substrate; (e) igniting a plasma in the reaction chamber after the
vapor phase flow of the silicon-containing reactant has ceased; and
(f) heating the substrate to between about 200.degree. C. and about
550.degree. C.; wherein at least one of the nitrogen-containing
reactant and the silicon-containing reactant bears one or more of a
thermally removable group, wherein said thermally removable group
decomposes at between about 200.degree. C. and about 550.degree.
C.
24. The method of claim 23, wherein the thermally removable group
is according to Formula II: ##STR00009## wherein each of R.sup.1,
R.sup.2 and R.sup.3 is, independent of the others, H or C.sub.1-3
alkyl; or two of R.sup.1, R.sup.2 and R.sup.3, together with the
carbon atom to which they are attached form form a C.sub.3-7
cycloalkyl and the other of R.sup.1, R.sup.2 and R.sup.3 is H or
C.sub.1-3 alkyl; and wherein each of said thermally removable
group, when part of the nitrogen-containing reactant, is attached
to a nitrogen or an oxygen of the nitrogen-containing reactant,
and, when part of the silicon-containing reactant, is attached to a
silicon or a nitrogen or an oxygen of the silicon-containing
reactant.
25. The method of claim 23, wherein the substrate is a
semiconductor wafer.
26. The method of claim 25, further comprising repeating (b)
through (e) to form a conformal layer on the semiconductor wafer
between about 1 nm and about 100 nm thick.
27. The method of claim 23, wherein (f) is performed throughout (b)
through (e).
28. The method of claim 23, wherein the silicon-containing reactant
is selected from the group consisting of a silane, a halosilane and
an aminosilane, and mixtures thereof.
29. The method of claim 23, wherein the nitrogen-containing
reactant is selected from the group consisting of ammonia, a
hydrazine, an amine and mixtures thereof.
30. The method of claim 29, wherein the nitrogen-containing
reactant is a C.sub.1-10 alkyl amine according to formula I:
##STR00010## wherein each of R.sup.1, R.sup.2 and R.sup.3 is,
independent of the others, H or C.sub.1-3 alkyl; or two of R.sup.1,
R.sup.2 and R.sup.3, together with the carbon atom to which they
are attached form form a C.sub.3-7 cycloalkyl and the other of
R.sup.1, R.sup.2 and R.sup.3 is H or C.sub.1-3 alkyl.
31. The method of claim 30, wherein the C.sub.1-10 alkyl amine is
selected from the group consisting of isopropylamine,
cyclopropylamine, sec-butylamine, tert-butyl amine,
cyclobutylamine, isoamylamine, 2-methylbutan-2-amine and
thexylamine.
32. The method of claim 31, wherein the C.sub.1-10 alkyl amine is
tert-butyl amine.
33. The method of claim 29, wherein the silicon-containing reactant
is a chlorosilane.
34. The method of claim 32, wherein the semi-conductor wafer is
heated to between about 450.degree. C. and about 500.degree. C.
35. An apparatus for depositing a silicon nitride film on a
semiconductor wafer, the apparatus comprising: (a) a reaction
chamber; (b) a source of activation energy to form the silicon
nitride film; (c) a reactant inlet; and (d) a controller comprising
instructions for: continuously flowing a nitrogen-containing
reactant into the reaction chamber during a deposition cycle;
periodically flowing a silicon-containing reactant into the
reaction chamber during the deposition cycle; periodically igniting
a plasma in the reaction chamber when the flow of the
silicon-containing reactant has ceased.
36. The apparatus of claim 35, wherein the source of activation
energy is a plasma generator.
37. The apparatus of claim 35, further including a vacuum port.
38. The apparatus of claim 36, wherein the plasma generator
comprises induction coils and/or a microwave source.
39. An apparatus for depositing a silicon nitride film on a
semiconductor wafer, the apparatus comprising: (a) a reaction
chamber; (b) a source of activation energy to form the silicon
nitride film; (c) a reactant inlet; and (d) a controller comprising
instructions for: flowing a nitrogen-containing reactant into the
reaction chamber during a deposition cycle; flowing a
silicon-containing reactant into the reaction chamber during the
deposition cycle; periodically igniting a plasma in the reaction
chamber when the flow of the silicon-containing reactant has ceased
and while the nitrogen-containing reactant is present in the vapor
phase in the reaction chamber.
40. The apparatus of claim 39, wherein the source of activation
energy is a plasma generator.
41. The apparatus of claim 39, further including a vacuum port.
42. The apparatus of claim 40, wherein the plasma generator
comprises induction coils and/or a microwave source.
Description
CROSS REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority benefit under 35 USC
.sctn.119(e) of U.S. provisional patent application No. 61/324,710,
filed Apr. 15, 2010, and U.S. provisional patent application No.
61/372,367, filed Aug. 10, 2010, and U.S. provisional patent
application No. 61/379,081, filed Sep. 1, 2010, and U.S.
provisional patent application No. 61/417,807, filed Nov. 29, 2010,
each of which is incorporated herein by reference in its entirety
and for all purposes. This application is related to U.S. patent
application Ser. No. ______ [Attorney docket No. NOVLP405], and
U.S. patent application Ser. No. ______ [Attorney docket No.
NVLS003674], each filed on the same day as the instant disclosure
and each incorporated herein by reference in its entirety and for
all purposes.
INTRODUCTION
[0002] 1. Field
[0003] The present disclosure relates generally to formation of SiN
materials on substrates. More particularly, the disclosure relates
to formation of SiN films on semiconductor substrates.
[0004] 2. Background
[0005] Silicon nitride (SiN) thin films have unique physical,
chemical and mechanical properties and thus are used in a variety
of applications, particularly semiconductor devices, for example in
diffusion barriers, gate insulators, sidewall spacers,
encapsulation layers, strained films in transistors, and the like.
One issue with SiN films is the relatively high temperatures used
to form the films, for example, in Front End of Line (FEOL)
applications, SiN films are typically deposited by chemical vapor
deposition (CVD) in a reactor at greater than 750.degree. C. using
dichlorosilane and ammonia. However, as SiN films are used in
late-stage semiconductor fabrication processes, and as device
dimensions continue to shrink, there is an increasing demand for
SiN films to be formed at lower temperatures, for example less than
600.degree. C.
[0006] Another issue with SiN film depositions is the occurrence
and/or buildup of amine salts in the reaction chamber. These salts
are formed by reaction of amine reactants and acid by products, for
example, hydrogen chloride and amine reactants combining It would
be useful to have methods which reduce the amount of salts formed
and thus improve processing by, for example, reducing downtime
needed to clean reactors and improving film quality.
[0007] Another issue with SiN films is, in certain instances,
unwanted carbon content in the film due to carbon content of
reactants used to form the SiN film. One way that such carbon
content is removed is by high temperature anneal, for example,
greater than 600.degree. C., and thus the aforementioned finer
features are jeopardized. Of course there are instances where
carbon content is desirable, and it would be helpful if one could
more precisely tailor the carbon content of the film.
[0008] A useful way to deposit SiN films is atomic layer deposition
(ALD) and variants thereof, for example, plasma enhanced ALD
(PEALD). Under ALD processes, the reaction chamber is purged after
every reactant is introduced for adsorption onto the substrate
surface. It would be helpful to have processes where one or more
purges were unnecessary and thus, for example, throughput would be
increased.
[0009] What is need are improved SiN films and methods of making
them.
SUMMARY
[0010] Described are methods of making SiN materials on substrates,
particularly SiN thin films on semiconductor substrates. Improved
SiN films made by the methods are also included.
[0011] One embodiment is a method of forming a silicon nitride
material on a substrate, including: (a) providing the substrate in
a reaction chamber; (b) continuously exposing the substrate to a
vapor phase flow of a nitrogen-containing reactant wherein the
nitrogen-containing reactant is adsorbed onto the surface of the
substrate; (c) periodically exposing the substrate to a vapor phase
flow of a silicon-containing reactant wherein the
silicon-containing reactant is adsorbed onto the surface of the
substrate; and (d) periodically igniting a plasma in the reaction
chamber when the vapor phase flow of the silicon-containing
reactant has ceased. In this embodiment, the plasma is ignited to
form a plasma from the nitrogen-containing reactant flowing in the
reaction chamber. In one embodiment, a carrier gas is flowed
continuously through the reaction chamber, thus the plasma may also
contain components of the carrier gas, such as argon or nitrogen
ions and/or radicals. Generally, the concentration of the
silicon-containing reactant in the reaction chamber is allowed to
decrease substantially prior to striking the plasma. The flow of
nitrogen-containing reactant, and carrier gas if present, sweeps
the excess silicon-containing reactant (that not adsorbed onto the
surface of the substrate) out of the chamber without the need for a
vacuum purge step, although, in one embodiment, a purge is
performed prior to striking the plasma.
[0012] In some embodiments, the SiN film produced has an
undesirable carbon content. This in-film carbon may result in
electrical leakage and may render the film unusable for some
dielectric barrier applications. Methods described herein produce
SiN films with less than 2% carbon, in one embodiment less than 1%
carbon, in yet another embodiment less than 0.5% carbon. In some
embodiments, the reduction in carbon residue is readily observable
in FTIR spectra. One embodiment is a method of forming a silicon
nitride material on a substrate, including: (a) forming a silicon
nitride film on the substrate, said formation including: (i)
providing the substrate in a reaction chamber; (ii) exposing the
substrate to a silicon-containing reactant in the vapor phase so
that the silicon-containing reactant is adsorbed onto the surface
of the substrate; (iii) exposing the substrate to an
nitrogen-containing reactant in the vapor phase so that the
nitrogen-containing reactant is adsorbed onto the surface of the
substrate; (iv) igniting a plasma while the nitrogen-containing
reactant is present in the vapor phase; and then, (b) exposing the
silicon nitride film to a hydrogen containing plasma. The hydrogen
plasma reduces carbon content of the film. In one embodiment, the
hydrogen plasma is generated using hydrogen (H.sub.2) and a carrier
gas such as nitrogen, helium or argon.
[0013] In general, any method described herein can include heating
the substrate to between about 50.degree. C. and about 550.degree.
C. during formation of the SiN film. Certain methods described
herein take advantage of a thermally removable groups, attached
either to a silicon-containing reactant or a nitrogen-containing
reactant, in order to lower carbon content. One embodiment is a
method of forming a silicon nitride material on a substrate,
including: (a) providing the substrate in a reaction chamber; (b)
providing a carrier gas flow through the reaction chamber; (b)
exposing the substrate to a vapor phase flow of a
nitrogen-containing reactant wherein the nitrogen-containing
reactant is adsorbed onto the surface of the substrate and then
purging the reaction chamber; (c) exposing the substrate to a vapor
phase flow of a silicon-containing reactant wherein the
silicon-containing reactant is adsorbed onto the surface of the
substrate; (d) igniting a plasma in the reaction chamber after the
vapor phase flow of the silicon-containing reactant has ceased; and
(e) heating the substrate to between about 200.degree. C. and about
550.degree. C.; where at least one of the nitrogen-containing
reactant and the silicon-containing reactant bears one or more of a
thermally removable group, wherein said thermally removable group
decomposes at between about 200.degree. C. and about 550.degree.
C.
[0014] These and other features and advantages of the present
invention will be described in more detail below with reference to
the associated drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
[0015] FIG. 1 shows a temporal progression of exemplary phases in a
CFD process.
[0016] FIG. 2 is an exemplary process flow outlining a CFD process
for making a SiN film.
[0017] FIG. 3 is an exemplary process flow outlining a method of
making a SiN film.
[0018] FIG. 4 is an exemplary process flow outlining a method of
making a SiN film.
[0019] FIG. 5 depicts a CFD processing station.
[0020] FIG. 6 depicts a schematic view of a multi-station
processing tool
DETAILED DESCRIPTION
[0021] Overview
[0022] The present disclosure relates to formation of SiN films,
particularly on semiconductor substrates. Methods described herein
include ways of controlling the carbon content in SiN films,
particularly forming low-carbon content SiN films, as well as
conformal film deposition (CFD) methods of forming SiN films.
[0023] Definitions
[0024] As used herein, the following definitions shall apply unless
otherwise indicated.
[0025] A "silicon-containing reactant" is a reagent, single or
mixture of reagents, used to make a SiN material, where the reagent
contains at least one silicon compound. The silicon compound can
be, for example, a silane, a halosilane or an aminosilane. A silane
contains hydrogen and/or carbon groups, but does not contain a
halogen. Examples of silanes are silane (SiH.sub.4), disilane
(Si.sub.2H.sub.6), and organo silanes such as methylsilane,
ethylsilane, isopropylsilane, t-butylsilane, dimethylsilane,
diethylsilane, di-t-butylsilane, allylsilane, sec-butylsilane,
thexylsilane, isoamylsilane, t-butyldisilane, di-t-butyldisilane,
and the like. A halosilane contains at least one halogen group and
may or may not contain hydrogens and/or carbon groups. Examples of
halosilanes are iodosilanes, bromosilanes, chlorosilanes and
fluorosilanes. Although halosilanes, particularly fluorosilanes,
may form reactive halide species that can etch silicon materials,
in certain embodiments described herein, the silicon-containing
reactant is not present when a plasma is struck. Specific
chlorosilanes are tetrachlorosilane (SiCl.sub.4), trichlorosilane
(HSiCl.sub.3), dichlorosilane (H.sub.2SiCl.sub.2), monochlorosilane
(ClSiH.sub.3), chloroallylsilane, chloromethylsilane,
dichloromethylsilane, chlorodimethylsilane, chloroethylsilane,
t-butylchlorosilane, di-t-butylchlorosilane, chloroisopropylsilane,
chloro-sec-butylsilane, t-butyldimethylchlorosilane,
thexyldimethylchlorosilane, and the like. An aminosilane includes
at least one nitrogen atom bonded to a silicon atom, but may also
contain hydrogens, oxygens, halogens and carbons. Examples of
aminosilanes are mono-, di-, tri- and tetra-aminosilane
(H.sub.3Si(NH.sub.2).sub.4, H.sub.2Si(NH.sub.2).sub.2,
HSi(NH.sub.2).sub.3 and Si(NH.sub.2).sub.4, respectively), as well
as substituted mono-, di-, tri- and tetra-aminosilanes, for
example, t-butylaminosilane, methylaminosilane,
tert-butylsilanamine, bis(tertiarybutylamino)silane
(SiH.sub.2(NHC(CH.sub.3).sub.3).sub.2 (BTBAS), tert-butyl
silylcarbamate, SiH(CH.sub.3)-(N(CH.sub.3).sub.2).sub.2,
SiHCl--(N(CH.sub.3).sub.2).sub.2, (Si(CH.sub.3).sub.2NH).sub.3 and
the like.
[0026] A "nitrogen-containing reactant" contains at least one
nitrogen, for example, ammonia, hydrazine, amines (amines bearing
carbon) such as methylamine, dimethylamine, ethylamine,
isopropylamine, t-butylamine, di-t-butylamine, cyclopropylamine,
sec-butylamine, cyclobutylamine, isoamylamine,
2-methylbutan-2-amine, trimethylamine, diisopropylamine,
diethylisopropylamine, di-t-butylhydrazine, as well as aromatic
containing amines such as anilines, pyridines, and benzylamines.
Amines may be primary, secondary, tertiary or quaternary (for
example, tetraalkylammonium compounds). A nitrogen-containing
reactant can contain heteroatoms other than nitrogen, for example,
hydroxylamine, t-butyloxycarbonyl amine and N-t-butyl hydroxylamine
are nitrogen-containing reactants.
[0027] "Plasma" refers to a plasma ignited in a reaction chamber or
remotely and brought into the reaction chamber. Plasmas can include
the reactants described herein and may include other agents, for
example, a carrier gas, or reactive species such as hydrogen gas.
The reactants and other agents may be present in a reaction chamber
when a plasma is struck, or a remote plasma may be flowed into a
chamber where the reactants are present and/or the reactants and/or
carrier gas may be ignited into a plasma remotely and brought into
the reaction chamber. A "plasma" is meant to include any plasma
known to be technologically feasible, including inductively-coupled
plasmas and microwave surface wave plasmas. One of ordinary skill
in the art would appreciate that advancements in technology will
occur, and thus as yet developed plasma generating techniques are
contemplated to be within the scope of the invention.
[0028] "Thermally removable group" refers to a moiety, on either or
both of the nitrogen-containing reactant and the silicon-containing
reactant, that breaks down into volatile components at between
about 200.degree. C. and about 550.degree. C. Described herein are
non-limiting examples such as secondary and tertiary carbon group,
which undergo elimination reactions in this temperature range. One
of ordinary skill in the art would recognize that other groups
thermally decompose as described by other mechanisms, for example,
a t-butyloxycarbonyl (t-BOC or "BOC") group thermally decomposes
via both an elimination mechanism where the t-butyl portion of the
group forms isobutylene, but also the decomposition forms carbon
dioxide. Thus a thermally removable group is not limited to a
particular mechanism or combination of mechanisms. As long as the
group breaks down under the specified temperature range to produce
at least one volatile component, then it qualifies as a thermally
decomposable group. For example, under a given set of conditions,
t-butylethylamine will undergo thermal decomposition of the t-butyl
group to form isobutylene while the ethyl group remains, and thus
isobutylene and ethylamine are the products of the thermal
decomposition. One of ordinary skill in the art would recognize
that the volatility of a component depends, in part, on the
reaction conditions under which the component is generated. For
example, isobutylene may be volatile and be removed from a reaction
chamber under the conditions of heating and low press because it
does not react with the adsorbed reactants, while, for example,
ammonia, although generally a volatile compound, undergoes reaction
with a silicon-containing reactant adsorbed on the surface of a
substrate.
[0029] Methods
[0030] Described herein are methods of making SiN films. In
particular embodiments SiN films are made using plasma-activated
conformal film deposition (CFD). In some embodiments, SiN films are
deposited and treated with a hydrogen plasma to reduce the carbon
content of the film. In some embodiments, a silicon-containing
reactant and a nitrogen-containing reactant are used to make a SiN
film, where one or both of the reactants includes a thermally
removable group. In these embodiments, a hydrogen plasma may not be
necessary to remove unwanted carbon from the film, for example when
the carbon content is substantially embodied by the thermally
removable group or groups' volatile component. When the SiN film is
heated, the carbon is driven off via the thermally removable group
or groups breaking down into volatile components that are removed
in the gas phase. In certain embodiments, although some of the
carbon content of the SiN film is removed via exploiting a
thermally removable group or groups, there may be some unwanted
carbon remaining, and thus a hydrogen plasma treatment may be
employed as well. Each of the aforementioned aspects are described
in more detail below.
[0031] In certain embodiments, CFD is used to deposit the SiN
films, although methods described herein are not limited to CFD.
Other suitable methods include ALD, PEALD, CVD, PECVD, and plasma
enhanced cyclic chemical vapor deposition (PECCVD). Methods for
forming films using CFD are described in U.S. patent application,
Ser. No. ______, [Attorney docket NOVLP405] filed on the same day
as the instant disclosure, and which is incorporated by reference
herein for all purposes. For context, a short description of CFD is
provided.
[0032] Manufacture of semiconductor devices typically involves
depositing one or more thin films on a non-planar substrate in an
integrated fabrication process. In some aspects of the integrated
process it may be useful to deposit conformal thin films. For
example, a silicon nitride film may be deposited on top of an
elevated gate stack to act as a spacer layer for protecting
lightly-doped source and drain regions from subsequent ion
implantation processes.
[0033] In spacer layer deposition processes, chemical vapor
deposition (CVD) processes may be used to form a silicon nitride
film on the non-planar substrate, which is then anisotropically
etched to form the spacer structure. However, as a distance between
gate stacks decreases, mass transport limitations of CVD gas phase
reactions may cause "bread-loafing" deposition effects. Such
effects typically exhibit thicker deposition at top surfaces of
gate stacks and thinner deposition at the bottom corners of gate
stacks. Further, because some die may have regions of differing
device density, mass transport effects across the wafer surface may
result in within-die and within-wafer film thickness variation.
These thickness variations may result in over-etching of some
regions and under-etching of other regions. This may degrade device
performance and/or die yield.
[0034] Some approaches to addressing these issues involve atomic
layer deposition (ALD). In contrast with a CVD process, where
thermally activated gas phase reactions are used to deposit films,
ALD processes use surface-mediated deposition reactions to deposit
films on a layer-by-layer basis. In one example ALD process, a
substrate surface, including a population of surface active sites,
is exposed to a gas phase distribution of a first reactant (A).
Some molecules of reactant A may form a condensed phase atop the
substrate surface, including chemisorbed species and physisorbed
molecules of reactant A. The reactor is then evacuated to remove
gas phase and physisorbed reactant A so that only chemisorbed
species remain. A second film reactant (B) is then introduced to
the reactor so that some molecules of reactant B adsorb to the
substrate surface. Thermal energy provided to the substrate
activates surface reactions between adsorbed molecules of reactants
A and B, forming a film layer. Finally, the reactor is evacuated to
remove reaction by-products and unreacted reactant B, ending the
ALD cycle. Additional ALD cycles may be included to build film
thickness. Plasma, or other energetic means, may be used in
conjunction with heating, or as alternatives to heating the
substrate in order to drive the reaction between reactant A and
B.
[0035] Depending on the exposure time of the reactant dosing steps
and the sticking coefficients of the reactants, each ALD cycle may
deposit a film layer of, in one example, between one-half and three
angstroms thick. Thus, ALD processes may be time consuming when
depositing films more than a few nanometers thick. Further, some
reactants may have long exposure times to deposit a conformal film,
which may also reduce wafer throughput time.
[0036] Conformal films may also be deposited on planar substrates.
For example, antireflective layers for lithographic patterning
applications may be formed from planar stacks comprising
alternating film types. Such antireflective layers may be
approximately 100 to 1000 angstroms thick, making ALD processes
less attractive than CVD processes. However, such anti-reflective
layers may also have a lower tolerance for within-wafer thickness
variation than many CVD processes may provide. For example, a
600-angstrom thick antireflective layer may tolerate a thickness
range of less than 3 angstroms.
[0037] Accordingly, various embodiments described herein include
CFD to deposit SiN films. Generally, CFD does not rely on complete
purges of one or more reactants prior to reaction to form SiN. For
example, there may be one or more reactants present in the vapor
phase when a plasma (or other activation energy) is struck.
Accordingly, one or more of the process steps described in the ALD
process may be shortened or eliminated in an example CFD process.
Further, in some embodiments, plasma activation of deposition
reactions may result in lower deposition temperatures than
thermally-activated reactions, potentially reducing the thermal
budget of an integrated process.
[0038] FIG. 1, shows a temporal progression of exemplary phases in
a CFD process, 100, for various process parameters, for example,
inert gas flow, reactant A, reactant B and when a plasma is struck.
In FIG. 1, two deposition cycles 110A and 110B are shown. One of
ordinary skill in the art would appreciate that any suitable number
of deposition cycles may be included in a CFD process to deposit a
desired film thickness. Example CFD process parameters include, but
are not limited to, flow rates for inert and reactant species,
plasma power and frequency, substrate temperature, and process
station pressure.
[0039] The concept of a CFD "cycle" is relevant to the discussion
of various embodiments herein. Generally a cycle is the minimum set
of operations required to perform a surface deposition reaction one
time. The result of one cycle is production of at least a partial
film layer on a substrate surface. Typically, a CFD cycle will
include only those steps necessary to deliver and adsorb each
reactant to the substrate surface, and then react those adsorbed
reactants to form the partial layer of film. Of course, the cycle
may include certain ancillary steps such as sweeping one of the
reactants or byproducts and/or treating the partial film as
deposited. Generally, a cycle contains only one instance of a
unique sequence of operations. As an example, a cycle may include
the following operations: (i) delivery/adsorption of reactant A,
(ii) delivery/adsorption of reactant B, (iii) sweep B out of the
reaction chamber, and (iv) apply plasma to drive a surface reaction
of A and B to form the partial film layer on the surface.
[0040] Referring to FIG. 1, an inert gas is flowed during all
phases of process 100. At reactant A exposure phase, 120A, reactant
A is supplied at a controlled flow rate to a process station to
saturate exposed surfaces of a substrate. Reactant A may be any
suitable deposition reactant, for example, a nitrogen-containing
reactant. In the embodiment shown in FIG. 1, reactant A flows
continuously throughout deposition cycles 110A and 110B. Unlike a
typical ALD process, where film precursor (reactant) exposures are
separated to prevent gas phase reaction, reactants A and B may be
allowed to mingle in the gas phase of some embodiments of a CFD
process. Continuously supplying reactant A to the process station
may reduce or eliminate a reactant A flow rate turn-on and
stabilization time compared to an ALD process where reactant A is
first turned on, then stabilized and exposed to the substrate, then
turned off, and finally removed from a reactor. While the
embodiment shown in FIG. 1 depicts reactant A exposure phase 120A
as having a constant flow rate, it will be appreciated that any
suitable flow of reactant A, including a variable flow, may be
employed within the scope of the present disclosure. In some
embodiments, reactant A exposure phase 120A may have a duration
that exceeds a substrate surface saturation time for reactant A.
For example, the embodiment of FIG. 1 includes a reactant A
post-saturation exposure time 130 in reactant A exposure phase
120A. Optionally, reactant A exposure phase 120A may include a
controlled flow rate of an inert gas. Example inert gases include,
but are not limited to, nitrogen, argon, and helium. The inert gas
may be provided to assist with pressure and/or temperature control
of the process station, evaporation of a liquid reactant, more
rapid delivery of the reactant and/or as a sweep gas for removing
process gases from the process station and/or process station
plumbing.
[0041] At Reactant B exposure phase 140A of the embodiment shown in
FIG. 1, reactant B is supplied at a controlled flow rate to the
process station to saturate the exposed substrate surface. In this
example, reactant B is a silicon-containing reactant. While the
embodiment of FIG. 1 depicts reactant B exposure phase 140A as
having a constant flow rate, it will be appreciated that any
suitable flow of reactant B, including a variable flow, may be
employed within the scope of the present disclosure. Further, it
will be appreciated that reactant B exposure phase 140A may have
any suitable duration. In some embodiments, reactant B exposure
phase 140A may have a duration exceeding a substrate surface
saturation time for reactant B. For example, the embodiment shown
in FIG. 1 depicts a reactant B post-saturation exposure time 15
included in reactant B exposure phase 140A.
[0042] In some embodiments, surface adsorbed B species may exist as
discontinuous islands on the substrate surface, making it difficult
to achieve surface saturation of reactant B. Various surface
conditions may delay nucleation and saturation of reactant B on the
substrate surface. For example, ligands released on adsorption of
reactants A and/or B may block some surface active sites,
preventing further adsorption of reactant B. Accordingly, in some
embodiments, continuous adlayers of reactant B may be provided by
modulating a flow of and/or discretely pulsing reactant B into the
process station during reactant B exposure phase 140A. This may
provide extra time for surface adsorption and desorption processes
while conserving reactant B compared to a constant flow scenario.
Additionally, or alternatively, in some embodiments, one or more
sweep phases may be included between consecutive exposures of
reactant B.
[0043] Prior to activation of the plasma, gas phase reactant B may
be removed from the process station in sweep phase 160A in some
embodiments. Sweeping the process station may avoid gas phase
reactions where reactant B is unstable to plasma activation or
where unwanted species might be formed. Further, sweeping the
process station may remove surface adsorbed ligands that may
otherwise remain and contaminate the film. Example sweep gases may
include, but are not limited to, argon, helium, and nitrogen. In
the embodiment shown in FIG. 1, sweep gas for sweep phase 160A is
supplied by the continuous inert gas stream. In some embodiments,
sweep phase 160A may include one or more evacuation subphases for
evacuating the process station. Alternatively, it will be
appreciated that sweep phase 160A may be omitted in some
embodiments.
[0044] Sweep phase 160A may have any suitable duration. In some
embodiments, increasing a flow rate of a one or more sweep gases
may decrease the duration of sweep phase 160A. For example, a sweep
gas flow rate may be adjusted according to various reactant
thermodynamic characteristics and/or geometric characteristics of
the process station and/or process station plumbing for modifying
the duration of sweep phase 160A. In one non-limiting example, the
duration of a sweep phase may be optimized by adjustment of the
sweep gas flow rate. This may reduce deposition cycle time, which
may improve substrate throughput.
[0045] At plasma activation phase 180A of the embodiment shown in
FIG. 1, plasma energy is provided to activate surface reactions
between surface adsorbed reactants A and B. For example, the plasma
may directly or indirectly activate gas phase molecules of reactant
A to form reactant A radicals. These radicals may then interact
with surface adsorbed reactant B, resulting in film-forming surface
reactions. Plasma activation phase 180A concludes deposition cycle
110A, which in the embodiment of FIG. 1 is followed by deposition
cycle 110B, commencing with reactant A exposure phase 120B.
[0046] In some embodiments, the plasma ignited in plasma activation
phase 180A may be formed directly above the substrate surface. This
may provide a greater plasma density and enhance a surface reaction
rate between reactants A and B. For example, plasmas for CFD
processes may be generated by applying a radio frequency (RF) field
to a low-pressure gas using two capacitively coupled plates. Any
suitable gas may be used to form the plasma. In this example, the
inert gas such as argon or helium is used along with reactant A, a
nitrogen-containing reactant, to form the plasma. Ionization of the
gas between the plates by the RF field ignites the plasma, creating
free electrons in the plasma discharge region. These electrons are
accelerated by the RF field and may collide with gas phase reactant
molecules. Collision of these electrons with reactant molecules may
form radical species that participate in the deposition process. It
will be appreciated that the RF field may be coupled via any
suitable electrodes. Non-limiting examples of electrodes include
process gas distribution showerheads and substrate support
pedestals. It will be appreciated that plasmas for CFD processes
may be formed by one or more suitable methods other than capacitive
coupling of an RF field to a gas.
[0047] Plasma activation phase 180A may have any suitable duration.
In some embodiments, plasma activation phase 180A may have a
duration that exceeds a time for plasma-activated radicals to
interact with all exposed substrate surfaces and adsorbates,
forming a continuous film atop the substrate surface. For example,
the embodiment shown in FIG. 1 includes a plasma post-saturation
exposure time 190 in plasma activation phase 180A.
[0048] In some embodiments, extending a plasma exposure time and/or
providing a plurality of plasma exposure phases may provide a
post-reaction treatment of bulk and/or near-surface portions of the
deposited film. In one embodiment, decreasing surface contamination
may prepare the surface for adsorption of reactant A. For example,
a silicon nitride film formed from reaction of a silicon-containing
reactant and a nitrogen-containing reactant may have a surface that
may resist adsorption of subsequent reactants. Treating the silicon
nitride surface with a plasma may create hydrogen bonds for
facilitating subsequent adsorption and reaction events. The SiN
films described herein can be exposed to other-than-plasma
treatments.
[0049] In some embodiments, a treatment other than a plasma
treatment is employed to modify the properties the as deposited
film. Such treatments include electromagnetic radiation treatments,
thermal treatments (e.g., anneals or high temperature pulses), and
the like. Any of these treatments may be performed alone or in
combination with another treatment, including a plasma treatment.
Any such treatment can be employed as a substitute for any of the
above-described plasma treatments. In a specific embodiment, the
treatment involves exposing the film to ultraviolet radiation. As
described below, in a specific embodiment, the method involves the
application of UV-radiation to a film in situ (i.e., during
formation of the film) or post deposition of the film. Such
treatment serves to reduce or eliminate defect structure and
provide improved electrical performance.
[0050] In certain specific embodiments, a UV treatment can be
coupled with a plasma treatment. These two operations can be
performed concurrently or sequentially. In the sequential option,
the UV operation optionally takes place first. In the concurrent
option, the two treatments may be provided from separate sources
(e.g., an RF power source for the plasma and a lamp for the UV) or
from a single source such as a helium plasma that produces UV
radiation as a byproduct.
[0051] In some embodiments, film properties, such as film stress,
dielectric constant, refractive index, etch rate may be adjusted by
varying plasma parameters.
[0052] While many examples discussed herein include two reactants
(A and B), it will be appreciated that any suitable number of
reactants may be employed within the scope of the present
disclosure. In some embodiments, a single reactant and an inert gas
used to supply plasma energy for a surface reaction can be used.
Alternatively, some embodiments may use multiple reactants to
deposit a film. For example, in some embodiments, a silicon nitride
film may be formed by reaction of a silicon-containing reactant and
one or more of a nitrogen-containing reactant, or one or more
silicon-containing reactants and a single nitrogen-containing
reactant, or more than one of both the silicon-containing reactant
and the nitrogen-containing reactant.
[0053] When multiple reactants are employed and the flow of one of
them is continuous, at least two of them will co-exist in the gas
phase during a portion of the CFD cycle. Similarly, when no purge
step is performed after delivery of the first reactant, two
reactants will co-exist in the reaction chamber. Therefore, it may
be important to employ reactants that do not appreciably react with
one another in the gas phase absent application of activation
energy. Typically, the reactants should not react until present on
the substrate surface and exposed to plasma or another appropriate
non-thermal activation condition. Choosing such reactants involves
considerations of at least (1) the thermodynamic favorability
(Gibb's free energy <0) of the desired reaction, and (2) the
activation energy for the reaction, which should be sufficiently
great so that there is negligible reaction at the desired
deposition temperature.
[0054] Selection of one or more reactants may be driven by various
film and/or hardware considerations. For example, in some
embodiments, a silicon nitride film may be formed from reaction of
dichlorosilane and a plasma-activated nitrogen-containing reactant,
for example ammonia. Chemisorption of dichlorosilane to a silicon
surface (indicated by the rectangle in Scheme 1, etc.) may create a
silicon-hydrogen terminated surface, liberating hydrogen chloride
(HCl). An example of this chemisorption reaction is depicted in
Scheme 1.
##STR00001##
The cyclic intermediate shown in Scheme 1 may then be transformed
into a silicon amide terminated surface via reaction with the same
or different plasma-activated nitrogen-containing reactant.
[0055] However, some molecules of dichlorosilane may chemisorb by
alternative mechanisms. For example, surface morphology may hinder
the formation of the cyclic intermediate depicted in Scheme 1. An
example of another chemisorption mechanism is shown Scheme 2.
During subsequent plasma activation of a nitrogen-containing
reactant, the remaining chlorine atom of the adsorbed intermediate
species shown in Scheme 2 may be liberated and may become activated
by the plasma. This may cause etching of the silicon nitride
surface, potentially causing the silicon nitride film to become
rough or hazy. Further, the residual chlorine atom may readsorb,
physically and/or chemically, potentially contaminating the
deposited film. This contamination may alter physical and/or
electrical properties of the silicon nitride film. Further still,
the activated chlorine atom may cause etch damage to portions of
the process station hardware, potentially reducing the service life
of portions of the process station. Also, excess chloride content
of the film may be unwanted.
##STR00002##
[0056] Thus, in some embodiments, a monochlorosilane is used rather
than a dichlorosilane. This may reduce film contamination, film
damage, and/or process station damage. An example of the
chemisorption of chlorosilane is shown in Scheme 3. While the
example depicted in Scheme 3 uses chlorosilane as the
silicon-containing reactant, it will be appreciated that any
suitable monosubstituted halosilane may be used. For example there
may be applications where a certain carbon content is desirable. In
one embodiment, the carbon content of the SiN film is tailored by
choice of carbon containing groups, both carbon amount and type, on
one or both of the silicon containing reactant and the
nitrogen-containing reactant.
##STR00003##
[0057] As explained above, the depicted intermediate structures may
react with a nitrogen-containing reactant to form a silicon amide
terminated surface. For example, ammonia may be activated by a
plasma, forming various ammonia radical species. The radical
species react with the intermediate, forming the silicon amide
terminated surface. Ammonia is a common nitrogen-containing
reactant for forming SiN films. Certain embodiments described
herein utilize ammonia as a nitrogen-containing reactant.
[0058] However, ammonia may physisorb strongly to surfaces of the
reactant delivery lines, process station, and exhaust plumbing,
which may lead to extended purge and evacuation times. Further,
ammonia may have a high reactivity with some gas phase
silicon-containing reactants. For example gas-phase mixtures of
dichlorosilane (SiH.sub.2Cl.sub.2) and ammonia may create unstable
species such as diaminosilane (SiH.sub.2(NH.sub.2).sub.2). Such
species may decompose in the gas phase, nucleating small particles.
Small particles may also be formed if ammonia reacts with hydrogen
chloride, generated during chemisorption of a halosilane, to form
ammonium chloride. Such particles may accumulate in the process
station where they may contaminate substrate surfaces, potentially
leading to integrated device defects, and where they may
contaminate process station hardware, potentially leading to tool
down time and cleaning. The small particles may also accumulate in
exhaust plumbing, may clog pumps and blowers, and may create a need
for special environmental exhaust scrubbers and/or cold traps.
[0059] Thus, in some embodiments, an amine may be used rather than
a nitrogen-containing reactant that does not contain carbon. For
example, various radicals formed from plasma activation of alkyl
amines, such as t-butyl amine, may be supplied to the process
station. Substituted amines, such as t-butyl amine, may have a
lower sticking coefficient on process hardware than ammonia, which
may result in comparatively lower phyisorbption rates and
comparatively lower process purge time.
[0060] Further, such alkyl amines form halogenated salts that are
more volatile than ammonium salts, for example ammonium chloride.
For example, t-butylammonium chloride is substantially more
volatile than ammonium chloride and thus less deposits are formed
on the interior of the chamber and associated plumbing and
deposition hardware. This reduces tool down time, device defect
creation, and environmental abatement expense.
[0061] In other embodiments, the nitrogen-containing reactant is an
amine, having a carbon component and a nitrogen component. In some
embodiments, the amine serves as a source of ammonia. That is, for
example, t-butylamine is the nitrogen-containing reactant, but
after processing, the t-butyl portion of the reactant is volatized
and removed from the SiN film, while the amine portion (ammonia) is
incorporated into the SiN film. In this way, the
nitrogen-containing reactant serves as a delivery vector for
ammonia, or an "ammonia equivalent." This avoids having the
reaction chamber and associated plumbing and hardware exposed to
excess ammonia (although some ammonia is inevitably lost from the
SiN film during decomposition of the t-butyl group and may contact
the reactor and other surfaces). Further still, certain amines
(nitrogen-containing reactants) may react with silicon-containing
reactants to form a new silicon-containing reactant. For example,
the reaction of t-butyl amine with dichlorosilane may form BTBAS.
Although BTBAS contains silicon, it also contains nitrogen (by
convention defined herein, this is a silicon-containing reactant).
Because t-butyl amine decomposes at temperatures above 300.degree.
C. to form isobutylene and ammonia, analogously BTBAS may thermally
decompose to form SiN and (2 moles of) isobutylene (BTBAS may also
be preformed and used as a silicon-containing reactant with a
nitrogen-containing reactant). Thus, certain amines provide
alternate routes to form silicon nitride. Described herein are
methods of exploiting such alkyl amines.
[0062] In some embodiments, where thermal decomposition pathways
are exploited, the thermally removable group need not be part of a
nitrogen-containing reactant. For example, in certain embodiments
the thermally removable group is part of the silicon-containing
reactant. In one embodiment the thermally removable group is
directly attached to a silicon, nitrogen or oxygen of a
silicon-containing reactant. In some embodiments, the reactant,
nitrogen or silicon, includes a thermally removable group and at
least one group that does not thermally decompose. This may be
desirable, for example, when a certain carbon content in the final
SiN film is desired, or, for example, when it is desirable to
tailor the manner in which the carbon is removed from the SiN film.
For example, in one embodiment, among the nitrogen and
silicon-containing reactants, there is included both a thermally
removable group and a non-thermally removable group, i.e., one that
does not thermally decompose under the same conditions. In this way
a SiN film is produced where some of the carbon can be removed, if
desired, via thermal decomposition and the remainder of the carbon
removed, if desirable, via, for example, hydrogen plasma treatment.
Thus methods of the invention contemplate carbon removal from a
single film in more than one way.
[0063] Each of the aforementioned aspects are described in more
detail below.
[0064] As described above, in one embodiment, CFD is used to make
SiN films. One embodiment is a method of forming a silicon nitride
material on a substrate, including: (a) providing the substrate in
a reaction chamber; (b) continuously exposing the substrate to a
vapor phase flow of a nitrogen-containing reactant wherein the
nitrogen-containing reactant is adsorbed onto the surface of the
substrate; (c) periodically exposing the substrate to a vapor phase
flow of a silicon-containing reactant wherein the
silicon-containing reactant is adsorbed onto the surface of the
substrate; and (d) periodically igniting a plasma in the reaction
chamber when the vapor phase flow of the silicon-containing
reactant has ceased. In this embodiment, the plasma is ignited to
form a plasma from the nitrogen-containing reactant flowing in the
reaction chamber. In one embodiment, a carrier gas is flowed
continuously through the reaction chamber, thus the plasma may also
contain components of the carrier gas, such as argon or nitrogen
ions and/or radicals. Generally, the concentration of the
silicon-containing reactant in the reaction chamber is allowed to
decrease substantially prior to striking the plasma. The flow of
nitrogen-containing reactant, and carrier gas if present, sweeps
the excess silicon-containing reactant (that not adsorbed onto the
surface of the substrate) out of the chamber without the need for a
vacuum purge step, although, in one embodiment, a purge is
performed prior to striking the plasma.
[0065] FIG. 2 depicts an exemplary process flow, 200, outlining
aspects of the method. A substrate is provided to the chamber, see
205. A flow of nitrogen-containing reactant is established and
continued throughout 200, see 210. The substrate is periodically
exposed to a silicon-containing reactant, see 215. Also,
periodically, a plasma is struck, but only when the
silicon-containing reactant flow has ceased. In one embodiment, the
silicon-containing reactant not adsorbed to the surface of the
substrate is swept out of the chamber by the flow of the inert gas
and/or nitrogen-containing reactant. In another embodiment, a purge
may be used. After the plasma treatment, the method is complete.
The steps may be repeated a number of times to build up a layer of
desired thickness.
[0066] In one embodiment, using any of the methods described
herein, the semi-conductor wafer is heated to between about
50.degree. C. and about 550.degree. C. In one embodiment, the wafer
is heated throughout the deposition, in other embodiments the wafer
is heated periodically during the deposition or after the
deposition steps as an anneal. Heating may also be used in
conjunction with thermally removable groups as described in more
detail below.
[0067] The method is particularly useful for forming SiN films on
semiconductor wafers. In one embodiment, steps (b) through (d) are
repeated to form a conformal layer on the semiconductor wafer
between about 1 nm and about 100 nm thick. In another embodiment,
between about 5 nm and about 50 nm thick. In another embodiment,
between about 5 nm and about 30 nm thick.
[0068] For the methods described herein, generally any
silicon-containing reactant is suitable. The SiN material of the
films produced may or may not contain carbon. The carbon in the SiN
film may come from the silicon-containing reactant or the
nitrogen-containing reactant. In one embodiment, the
silicon-containing reactant is selected from the group consisting
of a silane, a halosilane and an aminosilane, and mixtures thereof.
In one embodiment, the silicon-containing reactant is a halosilane,
an aminosilane or a mixture thereof. In one embodiment, the
silicon-containing reactant is a halosilane. In one embodiment, the
halosilane is a chlorosilane. In one embodiment, the halosilane is
a mono- or dihalosilane, for example a monochlorosilane or a
dichlorosilane. In a particular embodiment, the halosilane is a
monochlorosilane. In one embodiment, the monochlorosilane is
chlorosilane.
[0069] In certain embodiments, a silicon-containing reactant is
paired with a particular nitrogen-containing reactant. For example,
a monochlorosilane is paired with an alkyl amine, for example
t-butyl amine, to make SiN films using the methods described
herein. In one embodiment, dichlorosilane is used with t-butylamine
to make SiN using a method described herein.
[0070] For methods described herein, the nitrogen-containing
reactant can be any suitable nitrogen-containing reactant. In one
embodiment, the nitrogen-containing reactant is selected from the
group consisting of ammonia, a hydrazine, an amine and mixtures
thereof. In one embodiment, the nitrogen-containing reactant
includes a C.sub.1-10 alkyl amine or a mixture of C.sub.1-10 alkyl
amines. In one embodiment, the C.sub.1-10 alkyl amine is a primary
alkyl amine or a secondary alkyl amine. In one embodiment, the
C.sub.1-10 alkyl amine is a primary alkyl amine. In one embodiment,
the C.sub.1-10 alkyl amine is according to formula I:
##STR00004## [0071] wherein each of R.sup.1, R.sup.2 and R.sup.3
is, independent of the others, H or C.sub.1-3 alkyl; or two of
R.sup.1, R.sup.2 and R.sup.3, together with the carbon atom to
which they are attached form form a C.sub.3-7 cycloalkyl and the
other of R.sup.1, R.sup.2 and R.sup.3 is H or C.sub.1-3 alkyl. In
one embodiment, the C.sub.1-10 alkyl amine has a secondary or
tertiary carbon attached directly to the nitrogen. In one
embodiment, the C.sub.1-10 alkyl amine is selected from the group
consisting of isopropylamine, cyclopropylamine, sec-butylamine,
tert-butyl amine, cyclobutylamine, isoamylamine,
2-methylbutan-2-amine and thexylamine (2,3-dimethylbutan-2-amine).
In one embodiment, in the C.sub.1-10 alkyl amine of Formula I, each
of R.sup.1, R.sup.2 and R.sup.3 is C.sub.1-3 alkyl. In one
embodiment, the C.sub.1-10 alkyl amine is tert-butyl amine. TBA is
particularly useful for the reasons described.
[0072] In some embodiments, the SiN film produced has an
undesirable carbon content. This in-film carbon may result in
electrical leakage and may render the film unusable for some
dielectric barrier applications. Carbon content can vary, but in
some embodiments approximately 10% carbon (by weight) can be
considered too high. Methods described herein address unwanted
carbon in SiN films. Methods described herein produce SiN films
with less than 2% carbon, in one embodiment less than 1% carbon, in
yet another embodiment less than 0.5% carbon. In some embodiments,
the reduction in carbon residue is readily observable in FTIR
spectra, although other analytical methods are known to one of
ordinary skill in the art that can measure carbon content in these
ranges. One embodiment is a method of forming a silicon nitride
material on a substrate, including: (a) forming a silicon nitride
film on the substrate, said formation including: (i) providing the
substrate in a reaction chamber; (ii) exposing the substrate to a
silicon-containing reactant in the vapor phase so that the
silicon-containing reactant is adsorbed onto the surface of the
substrate; (iii) exposing the substrate to an nitrogen-containing
reactant in the vapor phase so that the nitrogen-containing
reactant is adsorbed onto the surface of the substrate; (iv)
igniting a plasma while the nitrogen-containing reactant is present
in the vapor phase; and then, (b) exposing the silicon nitride film
to a hydrogen containing plasma to remove at least some carbon
content of the silicon nitride film.
[0073] FIG. 3 depicts an exemplary process flow, 300, outlining
aspects of the method. A substrate is provided to the chamber, see
305. The substrate is exposed to a silicon-containing reactant, see
310. The substrate is exposed to a nitrogen-containing reactant,
see 315. A plasma is struck while the nitrogen-containing reactant
is present in the vapor phase, see 320, thus forming a SiN material
is formed on the substrate. In one embodiment, the
silicon-containing reactant not adsorbed to the surface of the
substrate is swept out of the chamber by the flow of the inert gas
and/or nitrogen-containing reactant prior to striking the plasma.
In another embodiment, a purge may be used to remove vapor phase
silicon-containing reactant. One or more iterations of 310-320 can
be performed to build up a SiN layer. After the SiN film is
produced to a desired thickness, the film is exposed to a hydrogen
plasma, see 325. After treatment with hydrogen plasma to remove the
desired amount of carbon, the method is done. In one embodiment,
the hydrogen plasma is generated using hydrogen (H.sub.2) and a
carrier gas such as nitrogen, helium or argon. Other hydrogen
containing gases, or active hydrogen atoms produced by a remote
plasma source, may be used to treat the deposited film. Further, in
some embodiments, the carbon content of the film may be tuned to
any suitable concentration by varying one or more of the number of
treatment pulses and their duration, the intensity of the treatment
plasma, the substrate temperature, and the treatment gas
composition.
[0074] As described in relation to previous methods, in one
embodiment, the substrate is a semiconductor wafer. In one
embodiment, (ii) is performed prior to (iii). In another
embodiment, (iii) is performed prior to (ii). In certain
embodiments, (a) is repeated two or more times prior to (b). That
is, the hydrogen plasma treatment removes carbon from the SiN film.
It is not always necessary to perform the hydrogen plasma treatment
after each reaction to form SiN, rather, a number of layers of the
SiN (with carbon) may be deposited before requiring a hydrogen
plasma treatment. That is, the hydrogen plasma is effective at
removing the carbon after two or more layers are deposited. In one
embodiment, (a) and (b) are repeated to form a conformal layer on
the semiconductor wafer between about 1 nm and about 100 nm thick,
in another embodiment, between about 5 nm and about 50 nm thick, in
another embodiment, between about 5 nm and about 30 nm thick.
[0075] Methods described above employ hydrogen plasma to reduce
carbon content in a SiN film. Other methods described herein take
advantage of a thermal decomposable groups, attached either to a
silicon-containing reactant or a nitrogen-containing reactant, in
order to lower carbon content. One embodiment is a method of
forming a silicon nitride material on a substrate, including: (a)
providing the substrate in a reaction chamber; (b) providing a
carrier gas flow through the reaction chamber; (c) exposing the
substrate to a vapor phase flow of a nitrogen-containing reactant
wherein the nitrogen-containing reactant is adsorbed onto the
surface of the substrate and then purging the reaction chamber; (d)
exposing the substrate to a vapor phase flow of a
silicon-containing reactant wherein the silicon-containing reactant
is adsorbed onto the surface of the substrate; (e) igniting a
plasma in the reaction chamber after the vapor phase flow of the
silicon-containing reactant has ceased; and (f) heating the
substrate to between about 200.degree. C. and about 550.degree. C.;
where at least one of the nitrogen-containing reactant and the
silicon-containing reactant bears one or more of a thermally
removable group, wherein said thermally removable group decomposes
at between about 200.degree. C. and about 550.degree. C.
[0076] FIG. 4 depicts an exemplary process flow, 400, outlining
aspects of the method. A substrate is provided to the chamber, see
405. A carrier flow is established, see 410. The substrate is
exposed to a nitrogen-containing reactant, see 415. The substrate
is exposed to a silicon-containing reactant, see 420. A plasma is
ignited after the flow of the silicon-containing reactant is
ceased, see 425. This reaction forms SiN. One or more iterations of
410-425 are performed to build a layer of desired thickness. In
this method, 415 and 420 are not necessarily done in the order
presented. The nitrogen-containing reactant flow may or may not be
continuous. The substrate may be heated during formation of the SiN
layer, within, or below, the temperature range necessary to
decompose the thermally removable groups, but at least at some
point after the SiN film is formed, the substrate is heated to
between about 200.degree. C. and about 550.degree. C. in order to
break down the thermally removable groups, see 430. After the
substrate is heated sufficient time to remove the desired amount of
carbon, the process flow ends.
[0077] In one embodiment, the substrate is a semiconductor wafer.
In one embodiment, the method further includes repeating (b)
through (e) to form a conformal layer on the semiconductor wafer
between about 1 nm and about 100 nm thick. In one embodiment, (f)
is performed throughout (b) through (e). The silicon and
nitrogen-containing reactants are as described herein, provided at
least one of the silicon and the nitrogen-containing reactant
includes at least one thermally removable group.
[0078] A thermally removable group is a group that breaks down into
volatile components at between about 200.degree. C. and about
550.degree. C. For example, secondary and particularly tertiary
carbon groups can undergo elimination reactions in this temperature
range. In a particular example, t-butyl groups break down to form
isobutylene in this temperature range. For example, t-butylamine,
when heated, undergoes an elimination reaction to form isobutylene
and ammonia as depicted in Scheme 4. As another example,
t-butoxycarbonyl groups (t-BOC) groups also thermally decompose,
for example at about 150.degree. C., to form isobutylene, carbon
dioxide and the
##STR00005##
radical to which the t-BOC group was attached. For example, as
depicted in Scheme 5, t-butylcarbamate thermally decomposes to give
isobutylene ammonia and carbon dioxide. The thermally removable
group need not be on the nitrogen-containing reactant. For example,
t-butylsilane,
##STR00006##
when heated, also undergoes an elimination reaction to form
isobutylene and a silane. In another example, tert-butyl
silylcarbamate thermally decomposes to form isobutylene, silanamine
and carbon dioxide, as depicted in Scheme 6.
##STR00007##
[0079] Thus one or more thermally removable groups can be used on
the silicon-containing reactant, the nitrogen-containing reactant,
or both. Thus the semiconductor wafer is heated to between about
200.degree. C. and about 550.degree. C. so that such groups
decompose and release their carbon content and thus reduce the
carbon content of the SiN film. The reactants are adsorbed onto the
substrate, a plasma is used to convert the reactants to a SiN
material. Remaining carbon groups are removed by heating the
substrate. The heating can be performed during the entire
deposition or periodically to decompose the thermally removable
groups. In one embodiment, the substrate is heated to between about
200.degree. C. and about 550.degree. C., in another embodiment
between about 350.degree. C. and about 550.degree. C., in another
embodiment between about 450.degree. C. and about 550.degree. C.,
and in another embodiment, between about 450.degree. C. and about
500.degree. C. In one embodiment, for example where TBA is used,
the SiN film is heated to between about 450.degree. C. and about
500.degree. C., for between about 1 second and about 30 seconds, or
between about 1 second and about 20 seconds, or between about 1
second and about 10 seconds. Although any particular thermally
removable group will breakdown at a certain temperature threshold,
a higher temperature may be used to increase the rate of
decomposition and/or as an anneal to improve the properties of the
SiN film.
[0080] As described above, the thermally removable group may
include a secondary or tertiary carbon functionality, and either or
both of the silicon-containing reactant and the nitrogen-containing
reactant can include one or more of the same or different thermally
removable groups. In one embodiment, the thermally removable group
is according to Formula II:
##STR00008##
wherein each of R.sup.1, R.sup.2 and R.sup.3 is, independent of the
others, H or C.sub.1-3 alkyl; or two of R.sup.1, R.sup.2 and
R.sup.3, together with the carbon atom to which they are attached
form form a C.sub.3-7 cycloalkyl and the other of R.sup.1, R.sup.2
and R.sup.3 is H or C.sub.1-3 alkyl; and where each of said
thermally removable group, when part of the nitrogen-containing
reactant, is attached to a nitrogen or an oxygen of the
nitrogen-containing reactant, and, when part of the
silicon-containing reactant, is attached to a silicon or a nitrogen
or an oxygen of the silicon-containing reactant. In one embodiment,
each of R.sup.1, R.sup.2 and R.sup.3 is, independent of the others,
C.sub.1-3 alkyl. In one embodiment, the thermally removable group
is a t-butyl group.
[0081] One embodiment is a SiN film produced by a method described
herein.
[0082] Apparatus
[0083] Another aspect of the invention is an apparatus configured
to accomplish the methods described herein. A suitable apparatus
includes hardware for accomplishing the process operations and a
system controller having instructions for controlling process
operations in accordance with the present invention.
[0084] It will be appreciated that any suitable process station may
be employed with one or more of the embodiments described above.
For example, FIG. 5 schematically shows a CFD process station 1300.
For simplicity, CFD process station 1300 is depicted as a
standalone process station having a process chamber body 1302 for
maintaining a low-pressure environment. However, it will be
appreciated that a plurality of CFD process stations 1300 may be
included in a common low-pressure process tool environment. While
the embodiment depicted in FIG. 5 shows one process station, it
will be appreciated that, in some embodiments, a plurality of
process stations may be included in a processing tool. For example,
FIG. 6 depicts an embodiment of a multi-station processing tool
2400. Further, it will be appreciated that, in some embodiments,
one or more hardware parameters of CFD process station 1300,
including those discussed in detail below, may be adjusted
programmatically by one or more computer controllers.
[0085] A CFD process station 1300 fluidly communicates with
reactant delivery system 1301 for delivering process gases to a
distribution showerhead 1306. Reactant delivery system 1301
includes a mixing vessel 1304 for blending and/or conditioning
process gases for delivery to showerhead 1306. One or more mixing
vessel inlet valves 1320 may control introduction of process gases
to mixing vessel 1304.
[0086] Some reactants, like BTBAS, may be stored in liquid form
prior to vaporization at and subsequent delivery to the process
station. For example, the apparatus of FIG. 5 includes a
vaporization point 1303 for vaporizing liquid reactant to be
supplied to mixing vessel 1304. In some embodiments, vaporization
point 1303 may be a heated vaporizer. The saturated reactant vapor
produced from such vaporizers may condense in downstream delivery
piping. Exposure of incompatible gases to the condensed reactant
may create small particles. These small particles may clog piping,
impede valve operation, contaminate substrates, etc. Some
approaches to addressing these issues involve sweeping and/or
evacuating the delivery piping to remove residual reactant.
However, sweeping the delivery piping may increase process station
cycle time, degrading process station throughput. Thus, in some
embodiments, delivery piping downstream of vaporization point 1303
is heat traced. In some examples, mixing vessel 1304 is also heat
traced. In one non-limiting example, piping downstream of
vaporization point 1303 has an increasing temperature profile
extending from approximately 100.degree. C. to approximately
150.degree. C. at mixing vessel 1304.
[0087] In some embodiments, reactant liquid is vaporized at a
liquid injector. For example, a liquid injector may inject pulses
of a liquid reactant into a carrier gas stream upstream of the
mixing vessel. In one embodiment, a liquid injector vaporizes
reactant by flashing the liquid from a higher pressure to a lower
pressure. In another embodiment, a liquid injector atomizes the
liquid into dispersed microdroplets that are subsequently vaporized
in a heated delivery pipe. It will be appreciated that smaller
droplets may vaporize faster than larger droplets, reducing a delay
between liquid injection and complete vaporization. Faster
vaporization may reduce a length of piping downstream from
vaporization point 1303. In one embodiment, a liquid injector is
mounted directly to mixing vessel 1304. In another embodiment, a
liquid injector is mounted directly to showerhead 1306.
[0088] In some embodiments, a liquid flow controller upstream of
vaporization point 1303 is provided for controlling a mass flow of
liquid for vaporization and delivery to process station 1300. In
one example, the liquid flow controller (LFC) includes a thermal
mass flow meter (MFM) located downstream of the LFC. A plunger
valve of the LFC is adjusted responsive to feedback control signals
provided by a proportional-integral-derivative (PID) controller in
electrical communication with the MFM. However, it may take one
second or more to stabilize liquid flow using feedback control.
This may extend a time for dosing a liquid reactant. Thus, in some
embodiments, the LFC is dynamically switched between a feedback
control mode and a direct control mode. In some embodiments, the
LFC is dynamically switched from a feedback control mode to a
direct control mode by disabling a sense tube of the LFC and the
PID controller.
[0089] Showerhead 1306 distributes process gases toward substrate
1312. In the embodiment shown in FIG. 13, substrate 1312 is located
beneath showerhead 1306, and is shown resting on a pedestal 1308.
It will be appreciated that showerhead 1306 may have any suitable
shape, and may have any suitable number and arrangement of ports
for distributing processes gases to substrate 1312.
[0090] In some embodiments, a microvolume 1307 is located beneath
showerhead 1306. Performing a CFD process in a microvolume rather
than in the entire volume of a process station may reduce reactant
exposure and sweep times, may reduce times for altering CFD process
conditions (e.g., pressure, temperature, etc.), may limit an
exposure of process station robotics to process gases, etc. Example
microvolume sizes include, but are not limited to, volumes between
0.1 liter and 2 liters.
[0091] In some embodiments, pedestal 1308 may be raised or lowered
to expose substrate 1312 to microvolume 1307 and/or to vary a
volume of microvolume 1307. For example, in a substrate transfer
phase, pedestal 1308 is lowered to allow substrate 1312 to be
loaded onto pedestal 1308. During a CFD process phase, pedestal
1308 is raised to position substrate 1312 within microvolume 1307.
In some embodiments, microvolume 1307 completely encloses substrate
1312 as well as a portion of pedestal 1308 to create a region of
high flow impedance during a CFD process.
[0092] Optionally, pedestal 1308 may be lowered and/or raised
during portions the CFD process to modulate process pressure,
reactant concentration, etc., within microvolume 1307. In one
embodiment where process chamber body 1302 remains at a base
pressure during the CFD process, lowering pedestal 1308 allows
microvolume 1307 to be evacuated. Example ratios of microvolume to
process chamber volume include, but are not limited to, volume
ratios between 1:500 and 1:10. It will be appreciated that, in some
embodiments, pedestal height may be adjusted programmatically by a
suitable computer controller.
[0093] In another embodiment, adjusting a height of pedestal 1308
allows a plasma density to be varied during plasma activation
and/or treatment cycles included in the CFD process. At the
conclusion of the CFD process phase, pedestal 1308 is lowered
during another substrate transfer phase to allow removal of
substrate 1312 from pedestal 1308.
[0094] While the example microvolume variations described herein
refer to a height-adjustable pedestal, it will be appreciated that,
in some embodiments, a position of showerhead 1306 may be adjusted
relative to pedestal 1308 to vary a volume of microvolume 1307.
Further, it will be appreciated that a vertical position of
pedestal 1308 and/or showerhead 1306 may be varied by any suitable
mechanism. One of ordinary skill in the art would appreciate that
such mechanism would include, for example, hydraulics, pneumatics,
spring mechanisms, solenoids and the like. In some embodiments,
pedestal 1308 may include a rotational mechanis, for example along
an axis perpendicular to the surface of the substrate, for rotating
an orientation of substrate 1312. It will be appreciated that, in
some embodiments, one or more of these example adjustments may be
performed programmatically by one or more suitable computer
controllers.
[0095] Returning to the embodiment shown in FIG. 5, showerhead 1306
and pedestal 1308 electrically communicate with RF power supply
1314 and matching network 1316 for powering a plasma. In some
embodiments, the plasma energy is controlled by controlling one or
more of a process station pressure, a gas concentration, an RF
source power, an RF source frequency, and a plasma power pulse
timing. For example, RF power supply 1314 and matching network 1316
may be operated at any suitable power to form a plasma having a
desired composition of radical species. Examples of suitable powers
include, but are not limited to, powers between 100 W and 5000 W.
Likewise, RF power supply 1314 may provide RF power of any suitable
frequency. In some embodiments, RF power supply 1314 may be
configured to control high- and low-frequency RF power sources
independently of one another. Example low-frequency RF frequencies
may include, but are not limited to, frequencies between 50 kHz and
500 kHz. Example high-frequency RF frequencies may include, but are
not limited to, frequencies between 1.8 MHz and 2.45 GHz. It will
be appreciated that any suitable parameters may be modulated
discretely or continuously to provide plasma energy for the surface
reactions. In one non-limiting example, the plasma power may be
intermittently pulsed to reduce ion bombardment with the substrate
surface relative to continuously powered plasmas.
[0096] In some embodiments, the plasma is monitored in-situ by one
or more plasma monitors. In one embodiment, plasma power is
monitored by one or more voltage, current sensors (e.g., VI
probes). In another embodiment, plasma density and/or process gas
concentration is measured by one or more optical emission
spectroscopy sensors (OES). In some embodiments, one or more plasma
parameters are programmatically adjusted based on measurements from
such in-situ plasma monitors. For example, an OES sensor may be
used in a feedback loop for providing programmatic control of
plasma power. It will be appreciated that, in some embodiments,
other monitors may be used to monitor the plasma and other process
characteristics. Such monitors include, but are not limited to,
infrared (IR) monitors, acoustic monitors, and pressure
transducers.
[0097] In some embodiments, the plasma is controlled via
input/output control (IOC) sequencing instructions. For example,
the instructions for setting plasma conditions for a plasma process
phase may be included in a corresponding plasma activation recipe
phase of a CFD process recipe. In some embodiments, process recipe
phases may be sequentially arranged, so that all instructions for a
CFD process phase are executed concurrently with that process
phase. It will be appreciated that some aspects of plasma
generation may have well-characterized transient and/or
stabilization times that may prolong a plasma process phase. Put
another way, such time delays may be predictable. Such time delays
may include a time to strike the plasma and a time to stabilize the
plasma at the indicted power setting.
[0098] In some embodiments, pedestal 1308 may be temperature
controlled via heater 1310. Further, in some embodiments, pressure
control for CFD process station 1300 may be provided by butterfly
valve 1318. As shown in FIG. 5, butterfly valve 1318 throttles a
vacuum provided by a downstream vacuum pump (not shown). However,
in some embodiments, pressure control of process station 1300 may
also be adjusted by varying a flow rate of one or more gases
introduced to CFD process station 1300.
[0099] As described above, one or more process stations may be
included in a multi-station processing tool. FIG. 6 shows a
schematic view of a multi-station processing tool, 2400, with an
inbound load lock 2402 and an outbound load lock 2404, either or
both of which may comprise a remote plasma source. A robot 2406, at
atmospheric pressure, is configured to move wafers from a cassette
loaded through a pod 2408 into inbound load lock 2402 via an
atmospheric port 2410. A wafer is placed by the robot 2406 on a
pedestal 2412 in the inbound load lock 2402, the atmospheric port
2410 is closed, and the load lock is pumped down. Where the inbound
load lock 2402 comprises a remote plasma source, the wafer may be
exposed to a remote plasma treatment in the load lock prior to
being introduced into a processing chamber 2414. Further, the wafer
also may be heated in the inbound load lock 2402 as well, for
example, to remove moisture and adsorbed gases. Next, a chamber
transport port 2416 to processing chamber 2414 is opened, and
another robot (not shown) places the wafer into the reactor on a
pedestal of a first station shown in the reactor for processing.
While the embodiment depicted in FIG. 6 includes load locks, it
will be appreciated that, in some embodiments, direct entry of a
wafer into a process station may be provided.
[0100] The depicted processing chamber 2414 comprises four process
stations, numbered from 1 to 4 in the embodiment shown in FIG. 6.
Each station has a heated pedestal (shown at 2418 for station 1),
and gas line inlets. It will be appreciated that in some
embodiments, each process station may have different or multiple
purposes. For example, in some embodiments, a process station may
be switchable between a CFD and PECVD process mode. Additionally or
alternatively, in some embodiments, processing chamber 2414 may
include one or more matched pairs of CFD and PECVD process
stations. While the depicted processing chamber 2414 comprises four
stations, it will be understood that a processing chamber according
to the present disclosure may have any suitable number of stations.
For example, in some embodiments, a processing chamber may have
five or more stations, while in other embodiments a processing
chamber may have three or fewer stations.
[0101] FIG. 6 also depicts a wafer handling system 2490 for
transferring wafers within processing chamber 2414. In some
embodiments, wafer handling system 2490 may transfer wafers between
various process stations and/or between a process station and a
load lock. It will be appreciated that any suitable wafer handling
system may be employed. Non-limiting examples include wafer
carousels and wafer handling robots. FIG. 6 also depicts a system
controller 2450 employed to control process conditions and hardware
states of process tool 2400. System controller 2450 may include one
or more memory devices 2456, one or more mass storage devices 2454,
and one or more processors 2452. Processor 2452 may include a CPU
or computer, analog and/or digital input/output connections,
stepper motor controller boards, etc.
[0102] In some embodiments, system controller 2450 controls all of
the activities of process tool 2400. System controller 2450
executes system control software 2458 stored in mass storage device
2454, loaded into memory device 2456, and executed on processor
2452. System control software 2458 may include instructions for
controlling the timing, mixture of gases, chamber and/or station
pressure, chamber and/or station temperature, wafer temperature,
target power levels, RF power levels, substrate pedestal, chuck
and/or susceptor position, and other parameters of a particular
process performed by process tool 2400. System control software
2458 may be configured in any suitable way. For example, various
process tool component subroutines or control objects may be
written to control operation of the process tool components
necessary to carry out various process tool processes. System
control software 2458 may be coded in any suitable computer
readable programming language.
[0103] In some embodiments, system control software 2458 may
include input/output control (IOC) sequencing instructions for
controlling the various parameters described above. For example,
each phase of a CFD process may include one or more instructions
for execution by system controller 2450. The instructions for
setting process conditions for a CFD process phase may be included
in a corresponding CFD recipe phase. In some embodiments, the CFD
recipe phases may be sequentially arranged, so that all
instructions for a CFD process phase are executed concurrently with
that process phase.
[0104] Other computer software and/or programs stored on mass
storage device 2454 and/or memory device 2456 associated with
system controller 2450 may be employed in some embodiments.
Examples of programs or sections of programs for this purpose
include a substrate positioning program, a process gas control
program, a pressure control program, a heater control program, and
a plasma control program.
[0105] A substrate positioning program may include program code for
process tool components that are used to load the substrate onto
pedestal 2418 and to control the spacing between the substrate and
other parts of process tool 2400.
[0106] A process gas control program may include code for
controlling gas composition and flow rates and optionally for
flowing gas into one or more process stations prior to deposition
in order to stabilize the pressure in the process station. A
pressure control program may include code for controlling the
pressure in the process station by regulating, for example, a
throttle valve in the exhaust system of the process station, a gas
flow into the process station, etc.
[0107] A heater control program may include code for controlling
the current to a heating unit that is used to heat the substrate.
Alternatively, the heater control program may control delivery of a
heat transfer gas (such as helium) to the substrate.
[0108] A plasma control program may include code for setting RF
power levels applied to the process electrodes in one or more
process stations.
[0109] In some embodiments, there may be a user interface
associated with system controller 2450. The user interface may
include a display screen, graphical software displays of the
apparatus and/or process conditions, and user input devices such as
pointing devices, keyboards, touch screens, microphones, etc.
[0110] In some embodiments, parameters adjusted by system
controller 2450 may relate to process conditions. Non-limiting
examples include process gas composition and flow rates,
temperature, pressure, plasma conditions (such as RF bias power
levels), pressure, temperature, etc. These parameters may be
provided to the user in the form of a recipe, which may be entered
utilizing the user interface.
[0111] Signals for monitoring the process may be provided by analog
and/or digital input connections of system controller 2450 from
various process tool sensors. The signals for controlling the
process may be output on the analog and digital output connections
of process tool 2400. Non-limiting examples of process tool sensors
that may be monitored include mass flow controllers, pressure
sensors (such as manometers), thermocouples, etc. Appropriately
programmed feedback and control algorithms may be used with data
from these sensors to maintain process conditions.
[0112] System controller 2450 may provide program instructions for
implementing the above-described deposition processes. The program
instructions may control a variety of process parameters, such as
DC power level, RF bias power level, pressure, temperature, etc.
The instructions may control the parameters to operate in-situ
deposition of film stacks according to various embodiments
described herein.
[0113] The system controller will typically include one or more
memory devices and one or more processors configured to execute the
instructions so that the apparatus will perform a method in
accordance with the present invention. Machine-readable media
containing instructions for controlling process operations in
accordance with the present invention may be coupled to the system
controller.
[0114] Patterning Method/Apparatus:
[0115] The apparatus/process described herein may be used in
conjunction with lithographic patterning tools or processes, for
example, for the fabrication or manufacture of semiconductor
devices, displays, LEDs, photovoltaic panels and the like.
Typically, though not necessarily, such tools/processes will be
used or conducted together in a common fabrication facility.
Lithographic patterning of a film typically comprises some or all
of the following steps, each step enabled with a number of possible
tools: (1) application of photoresist on a workpiece, i.e.,
substrate, using a spin-on or spray-on tool; (2) curing of
photoresist using a hot plate or furnace or UV curing tool; (3)
exposing the photoresist to visible or UV or x-ray light with a
tool such as a wafer stepper; (4) developing the resist so as to
selectively remove resist and thereby pattern it using a tool such
as a wet bench; (5) transferring the resist pattern into an
underlying film or workpiece by using a dry or plasma-assisted
etching tool; and (6) removing the resist using a tool such as an
RF or microwave plasma resist stripper. In one embodiment, a SiN
film is formed using a method as described herein. The SiN film is
used, for example, for one of the purposes described herein.
Further, the method includes one or more steps (1)-(6) described
above.
EXAMPLES
[0116] The invention is further understood by reference to the
following examples, which are intended to be purely exemplary. The
present invention is not limited in scope by the exemplified
embodiments, which are intended as illustrations of single aspects
of the invention only. Any methods that are functionally equivalent
are within the scope of the invention. Various modifications of the
invention in addition to those described herein will become
apparent to those skilled in the art from the foregoing description
and accompanying figures. Moreover, such modifications fall within
the scope of the appended claims.
Example 1
[0117] Table 1 includes a number of reaction partners, and
temperature and pressure parameters that may be used to make SiN
films in accordance with the embodiments described herein.
TABLE-US-00001 TABLE 1 Reactant A Reactant B Reactant C
Temp(.degree. C.) Press. (torr) Ref. index BTBAS NH.sub.3 -- 50-550
1-4 1.80-2.05 BTBAS -- N.sub.2/H.sub.2 50-550 1-4 1.80-2.05 BTBAS
NH.sub.3 N.sub.2/H.sub.2 50-550 1-4 1.80-2.05 SiH.sub.3Cl NH.sub.3
Optionally 50-550 1-4 N.sub.2/H.sub.2 SiH.sub.3Cl TBA Optionally
N.sub.2/H.sub.2 SiH.sub.2Cl.sub.2 NH.sub.3 Optionally 50-550 1-4
1.80-2.05 N.sub.2/H.sub.2 SiH.sub.2Cl.sub.2 TBA Optionally
N.sub.2/H.sub.2 SiH(CH.sub.3)--(N(CH.sub.3).sub.2).sub.2 NH.sub.3
Optionally 50-550 1-4 1.80-2.05 N.sub.2/H.sub.2
SiH(CH.sub.3)(Cl.sub.2) NH.sub.3 Optionally 50-550 1-4 1.80-2.05
N.sub.2/H.sub.2 SiHCl--(N(CH.sub.3).sub.2).sub.2 NH.sub.3
Optionally 50-550 1-4 1.80-2.05 N.sub.2/H.sub.2
(Si(CH.sub.3).sub.2NH).sub.3 NH.sub.3 Optionally 50-550 1-4
1.80-2.05 N.sub.2/H.sub.2
Example 2
[0118] A 300 mm wafer is placed into vacuum chamber and the chamber
evacuated to 0.5 torr. The wafer is supported within the chamber on
an aluminum pedestal which is heated throughout the procedure. For
example, the pedestal is heated at a constant temperature that is
between about 50.degree. C. and about 550.degree. C. The pressure
in the chamber is increased to 2 torr using an inert gas such as
argon or nitrogen. Dichlorosilane (DCS) is introduced into the
reactor as a vapor phase flow at between about 1 slm and about 5
slm (standard liters per minute) for between about 1 second and
about 30 seconds in order to adsorb DCS onto the surface of the
wafer. After the DCS flow is ceased, the inert gas flow in the
reactor purges the remaining vapor phase DCS and any byproducts.
Then, a t-butylamine (TBA) vapor phase flow is established in the
reactor at between about 1 slm and about 5 slm for between about 1
second and about 30 seconds. A plasma, for example 13.56 MHz at 2.5
kW power, is ignited above the wafer for between about 1 second and
about 15 seconds. The inert gas flow in the reactor purges the
remaining vapor phase TBA and any byproducts. The DCS flow, inert
gas purge, TBA flow, plasma and inert gas purge are repeated to
deposit a SiN film of desired thickness. Each cycle as described
deposits between about 0.5 .ANG. and about 1.5 .ANG. of a SiN
film.
[0119] Alternatively, in a CFD run, the TBA flow is run
continuously. In these runs, the same conditions as described above
are used, except the TBA flow is established first and maintained.
The DCS flow is introduced into the reactor at the same rate and
time as described above, followed by an inert gas purge as
described above. The plasma is ignited as described above, followed
by an inert gas purge as described above. The DCS flow, inert gas
purge, plasma ignition and inert gas purge are repeated to deposit
a SiN film of desired thickness. Each cycle as described deposits
between about 0.5 .ANG. and about 1.5 .ANG. of a SiN film.
[0120] The SiN films produced have the following characteristics:
[0121] Non-Uniformity 3%-5% (max-min/average) [0122] Non-uniformity
<1% (1 s) [0123] Refractive Index 1.8-1.9 [0124] Film stress:
+20 MPa to -180 MPa [0125] Dielectric constant: 5.5-6.5 [0126] Wet
etch ratio 0.1-1.0 (to thermal oxide)
[0127] Although the foregoing has been described in some detail for
purposes of clarity of understanding, it will be apparent that
certain changes and modifications may be practiced within the scope
of the appended claims. It should be noted that there are many
alternative ways of implementing the processes, systems and
apparatus described. Accordingly, the described embodiments are to
be considered as illustrative and not restrictive.
* * * * *