loadpatents
name:-0.10610294342041
name:-0.13652801513672
name:-0.067683219909668
CHEN; Kei-Wei Patent Filings

CHEN; Kei-Wei

Patent Applications and Registrations

Patent applications and USPTO patent grants for CHEN; Kei-Wei.The latest application filed is for "semiconductor processing tool and methods of operation".

Company Profile
68.145.199
  • CHEN; Kei-Wei - Tainan City TW
  • Chen; Kei-Wei - Tainan TW
  • Chen; Kei-Wei - Hsinchu TW
  • Chen; Kei-Wei - Yonghe TW
  • Chen; Kei-Wei - Taipei N/A TW
  • CHEN; Kei-Wei - Yonghe City TW
  • Chen; Kei-Wei - Taipei County TW
  • Chen; Kei-Wei - Yung-ho TW
  • Chen; Kei-Wei - Yung-ho City TW
  • Chen; Kei-Wei - Hsin-Chu TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Semiconductor Processing Tool And Methods Of Operation
App 20220310404 - CUI; Ji ;   et al.
2022-09-29
Ion implant process for defect elimination in metal layer planarization
Grant 11,450,565 - Chen , et al. September 20, 2
2022-09-20
FinFET structure and method for manufacturing thereof
Grant 11,450,742 - Tsai , et al. September 20, 2
2022-09-20
Methods to clean chemical mechanical polishing systems
Grant 11,446,785 - Chang , et al. September 20, 2
2022-09-20
Hotspot avoidance method for manufacturing integrated circuits
Grant 11,443,095 - Liu , et al. September 13, 2
2022-09-13
Semiconductor device and method
Grant 11,437,497 - Tsai , et al. September 6, 2
2022-09-06
Semiconductor Structure and Methods of Forming Same
App 20220262951 - Tsai; Chun-Hsiung ;   et al.
2022-08-18
Semiconductor device structure with interconnect structure and method for forming the same
Grant 11,417,566 - Kung , et al. August 16, 2
2022-08-16
Thin film metrology
Grant 11,397,078 - Chen , et al. July 26, 2
2022-07-26
Manufacturing Method Of Fin-type Field Effect Transistor Structure
App 20220216202 - Tsai; Chun-Hsiung ;   et al.
2022-07-07
Chemical mechanical polishing method
Grant 11,373,879 - Chen , et al. June 28, 2
2022-06-28
Semiconductor method and device
Grant 11,367,660 - Yen , et al. June 21, 2
2022-06-21
Semiconductor structure and methods of forming same
Grant 11,355,635 - Tsai , et al. June 7, 2
2022-06-07
Metal Loss Prevention Using Implantation
App 20220122884 - Wu; Li-Chieh ;   et al.
2022-04-21
Fin-type field effect transistor structure and manufacturing method thereof
Grant 11,289,479 - Tsai , et al. March 29, 2
2022-03-29
Semiconductor Device and Method of Manufacture
App 20220059655 - Ting; Heng-Wen ;   et al.
2022-02-24
Composition And Method For Polishing And Integrated Circuit
App 20220017780 - CUI; JI ;   et al.
2022-01-20
Method of forming semiconductor device
Grant 11,227,951 - Tsai , et al. January 18, 2
2022-01-18
Hotspot Avoidance Method for Manufacturing Integrated Circuits
App 20220012400 - Liu; I-Shuo ;   et al.
2022-01-13
Multiple metallization scheme
Grant 11,217,479 - Ho , et al. January 4, 2
2022-01-04
Metal loss prevention using implantation
Grant 11,211,289 - Wu , et al. December 28, 2
2021-12-28
Method of Forming a FinFET Device
App 20210399221 - Liu; Chang-Miao ;   et al.
2021-12-23
Chemical Mechanical Polishing Slurry Composition, Method For Chemical Mechanical Polishing And Method For Forming Connecting Structure
App 20210391208 - Cui; Ji ;   et al.
2021-12-16
Methods For Chemical Mechanical Polishing And Forming Interconnect Structure
App 20210391186 - Cui; Ji ;   et al.
2021-12-16
Gate structure, semiconductor device and the method of forming semiconductor device
Grant 11,195,931 - Tsai , et al. December 7, 2
2021-12-07
Post-cmp Cleaning Composition For Germanium- Containing Substrate
App 20210371774 - CUI; Ji ;   et al.
2021-12-02
Slurry Composition And Method For Polishing And Integratged Circuit
App 20210371702 - CUI; JI ;   et al.
2021-12-02
Semiconductor Device and Method
App 20210366715 - Ma; Ta-Chun ;   et al.
2021-11-25
Thin Film Metrology
App 20210364275 - CHEN; Chih Hung ;   et al.
2021-11-25
Magnetic Polishing Slurry And Method For Polishing A Workpiece
App 20210348027 - CUI; JI ;   et al.
2021-11-11
Semiconductor device and method of manufacture
Grant 11,171,209 - Ting , et al. November 9, 2
2021-11-09
CMP System and Method of Use
App 20210343538 - Hou; Te-Chien ;   et al.
2021-11-04
Magnetic Slurry for Highly Efficiency CMP
App 20210327720 - Chen; Yen-Ting ;   et al.
2021-10-21
Semiconductor structure with doped contact plug and method for forming the same
Grant 11,145,751 - Chen , et al. October 12, 2
2021-10-12
Chemical Mechanical Polish Slurry and Method of Manufacture
App 20210313190 - Kung; Chun-Hao ;   et al.
2021-10-07
Methods Of Forming A Finfet Device
App 20210313514 - Liu; Chang-Miao ;   et al.
2021-10-07
Methods of forming a FinFET device
Grant 11,139,432 - Liu , et al. October 5, 2
2021-10-05
Ion Implant Process For Defect Elimination In Metal Layer Planarization
App 20210305092 - CHEN; Chia-Cheng ;   et al.
2021-09-30
Vias with metal caps for underlying conductive lines
Grant 11,133,247 - Ho , et al. September 28, 2
2021-09-28
Fin Field-Effect Transistor Device and Method of Forming the Same
App 20210296173 - Suen; Shich-Chang ;   et al.
2021-09-23
Finfet Structure And Method For Manufacturing Thereof
App 20210288146 - TSAI; CHUN HSIUNG ;   et al.
2021-09-16
Chemical mechanical polishing composition and method
Grant 11,117,239 - Suen , et al. September 14, 2
2021-09-14
Chemical Mechanical Polishing Cleaning System With Temperature Control For Defect Reduction
App 20210272798 - Chen; Ssutzu ;   et al.
2021-09-02
Metal Heterojunction Structure With Capping Metal Layer
App 20210272818 - LIN; Yi-Sheng ;   et al.
2021-09-02
Semiconductor Device Including Fin Structures And Manufacturing Method Thereof
App 20210257493 - TSAI; Chun Hsiung ;   et al.
2021-08-19
CMP slurry and CMP method
Grant 11,094,555 - Hsu , et al. August 17, 2
2021-08-17
Semiconductor structure having a source/drain stressor including a plurality of silicon-containing layers
Grant 11,094,797 - Tsai , et al. August 17, 2
2021-08-17
Semiconductor device and method
Grant 11,087,987 - Ma , et al. August 10, 2
2021-08-10
Chemical Mechanical Polishing Apparatus Including a Multi-Zone Platen
App 20210220964 - Chang; Ting-Hsun ;   et al.
2021-07-22
Chemical Mechanical Polishing Apparatus and Method
App 20210220962 - Suen; Shich-Chang ;   et al.
2021-07-22
CMP system and method of use
Grant 11,069,533 - Hou , et al. July 20, 2
2021-07-20
Structure and Formation Method of Semiconductor Device with Conductive Feature
App 20210210383 - Wu; Li-Chieh ;   et al.
2021-07-08
Chemical Mechanical Polishing Apparatus And Method
App 20210205950 - Wang; Shang-Yu ;   et al.
2021-07-08
Magnetic slurry for highly efficient CMP
Grant 11,056,352 - Chen , et al. July 6, 2
2021-07-06
Bevel Edge Removal Methods, Tools, And Systems
App 20210202239 - Huang; Hui-Chi ;   et al.
2021-07-01
Fin-type Field Effect Transistor
App 20210202718 - Tsai; Chun Hsiung ;   et al.
2021-07-01
Chemical mechanical polish slurry and method of manufacture
Grant 11,043,396 - Kung , et al. June 22, 2
2021-06-22
Methods of Forming an Abrasive Slurry and Methods for Chemical-Mechanical Polishing
App 20210183688 - Lee; Chia Hsuan ;   et al.
2021-06-17
Metal heterojunction structure with capping metal layer
Grant 11,037,799 - Lin , et al. June 15, 2
2021-06-15
Forming Gate Line-end Of Semiconductor Structures
App 20210166972 - CHUNG; Che-Liang ;   et al.
2021-06-03
Fin field-effect transistor device and method of forming the same
Grant 11,024,540 - Suen , et al. June 1, 2
2021-06-01
Thickness Sensor For Conductive Features
App 20210125881 - CHEN; CHIH HUNG ;   et al.
2021-04-29
Chemical mechanical polishing apparatus and method
Grant 10,967,478 - Suen , et al. April 6, 2
2021-04-06
Semiconductor Method and Device
App 20210098308 - Yen; Cheng-Hsiung ;   et al.
2021-04-01
Structure and formation method of semiconductor device with conductive feature
Grant 10,957,587 - Wu , et al. March 23, 2
2021-03-23
Chemical mechanical polishing apparatus and method
Grant 10,953,514 - Wang , et al. March 23, 2
2021-03-23
External Heating System For Use In Chemical Mechanical Polishing System
App 20210078129 - LIN; Yi-Sheng ;   et al.
2021-03-18
Chemical Mechanical Polishing Apparatus And Method
App 20210078130 - Wang; Shang-Yu ;   et al.
2021-03-18
Compositions for use in chemical mechanical polishing
Grant 10,947,414 - Chih , et al. March 16, 2
2021-03-16
Fin-type field effect transistor
Grant 10,950,710 - Tsai , et al. March 16, 2
2021-03-16
Forming gate line-end of semiconductor structures
Grant 10,943,822 - Chung , et al. March 9, 2
2021-03-09
Semiconductor device including fin structures and manufacturing method thereof
Grant 10,937,906 - Tsai , et al. March 2, 2
2021-03-02
Methods of forming an abrasive slurry and methods for chemical-mechanical polishing
Grant 10,937,691 - Lee , et al. March 2, 2
2021-03-02
Chemical Mechanical Planarization Tool
App 20210053184 - Chen; Tung-Kai ;   et al.
2021-02-25
Novel CMP Pad Design and Method of Using the Same
App 20210053179 - Su; Pin-Chuan ;   et al.
2021-02-25
Chemical Mechanical Planarization Tool
App 20210053180 - Yen; Michael ;   et al.
2021-02-25
Methods and systems for dopant activation using microwave radiation
Grant 10,923,355 - Tsai , et al. February 16, 2
2021-02-16
Thickness sensor for conductive features
Grant 10,916,481 - Chen , et al. February 9, 2
2021-02-09
Semiconductor Device, Method, and Tool of Manufacture
App 20210036129 - Chen; Chih Hung ;   et al.
2021-02-04
System and Method of Chemical Mechanical Polishing
App 20210023678 - Liu; Chih-Wen ;   et al.
2021-01-28
Mega-sonic Vibration Assisted Chemical Mechanical Planarization
App 20210016415 - Kung; Chun-Hao ;   et al.
2021-01-21
CMP System and Method of Use
App 20210020449 - Hou; Te-Chien ;   et al.
2021-01-21
Chemical Mechanical Polishing Method
App 20200411329 - CHEN; TUNG-KAI ;   et al.
2020-12-31
Apparatus and method for timed dispensing various slurry components
Grant 10,875,149 - Chen , et al. December 29, 2
2020-12-29
Semiconductor method and device
Grant 10,867,862 - Yen , et al. December 15, 2
2020-12-15
Gate Structure, Semiconductor Device and the Method of Forming Semiconductor Device
App 20200381529 - Tsai; Chun-Hsiung ;   et al.
2020-12-03
Block layer in the metal gate of MOS devices
Grant 10,840,330 - Tsao , et al. November 17, 2
2020-11-17
Semiconductor Device With Dielectric Spacer Liner On Source/drain Contact
App 20200343349 - HUNG; Chi-Cheng ;   et al.
2020-10-29
System and method of chemical mechanical polishing
Grant 10,800,004 - Liu , et al. October 13, 2
2020-10-13
Semiconductor device, method, and tool of manufacture
Grant 10,804,370 - Chen , et al. October 13, 2
2020-10-13
Chemical mechanical polishing method
Grant 10,777,423 - Chen , et al. Sept
2020-09-15
Fin-type Field Effect Transistor Structure And Manufacturing Method Thereof
App 20200279846 - Tsai; Chun-Hsiung ;   et al.
2020-09-03
Cmp Slurry And Cmp Method
App 20200279751 - Hsu; Chun-Wei ;   et al.
2020-09-03
Method Of Forming Device
App 20200279949 - Tsai; Chun-Hsiung ;   et al.
2020-09-03
Gate structure, semiconductor device and the method of forming semiconductor device
Grant 10,749,008 - Tsai , et al. A
2020-08-18
Wafer Thinning Apparatus Having Feedback Control
App 20200258756 - A1
2020-08-13
Semiconductor device and method for manufacturing the same
Grant 10,714,576 - Hung , et al.
2020-07-14
CMP slurry and CMP method
Grant 10,692,732 - Hsu , et al.
2020-06-23
Semiconductor structure and manufacturing method thereof
Grant 10,665,693 - Tsai , et al.
2020-05-26
Semiconductor device and FinFET device
Grant 10,665,717 - Tsai , et al.
2020-05-26
Semiconductor Structure and Methods of Forming Same
App 20200152792 - Tsai; Chun-Hsiung ;   et al.
2020-05-14
Metal loss prevention using implantation
Grant 10,643,892 - Wu , et al.
2020-05-05
Wafer thinning apparatus having feedback control and method of using
Grant 10,643,853 - Chen , et al.
2020-05-05
Semiconductor Device and Method
App 20200135467 - Ma; Ta-Chun ;   et al.
2020-04-30
Methods To Clean Chemical Mechanical Polishing Systems
App 20200130138 - CHANG; Chih-Chieh ;   et al.
2020-04-30
Methods and Systems for Dopant Activation Using Microwave Radiation
App 20200135468 - Tsai; Chun-Hsiung ;   et al.
2020-04-30
Fin-type field effect transistor structure and manufacturing method thereof
Grant 10,629,596 - Tsai , et al.
2020-04-21
Fin Field-Effect Transistor Device and Method of Forming the Same
App 20200105599 - Suen; Shich-Chang ;   et al.
2020-04-02
System And Method Of Chemical Mechanical Polishing
App 20200101582 - Liu; Chih-Wen ;   et al.
2020-04-02
Methods Of Forming An Abrasive Slurry And Methods For Chemical-mechanical Polishing
App 20200105580 - Lee; Chia Hsuan ;   et al.
2020-04-02
Semiconductor Device and Method of Manufacture
App 20200105876 - Ting; Heng-Wen ;   et al.
2020-04-02
Vias With Metal Caps For Underlying Conductive Lines
App 20200105668 - Ho; Chia-Wei ;   et al.
2020-04-02
Cmp Slurry And Cmp Method
App 20200098590 - Hsu; Chun-Wei ;   et al.
2020-03-26
Metal Heterojunction Structure With Capping Metal Layer
App 20200098591 - Lin; Yi-Sheng ;   et al.
2020-03-26
Zone-based Cmp Target Control
App 20200094369 - Chung; Che-Liang ;   et al.
2020-03-26
Semiconductor Method and Device
App 20200075729 - Yen; Cheng-Hsiung ;   et al.
2020-03-05
Fin-type field effect transistor structure and manufacturing method thereof
Grant 10,573,749 - Tsai , et al. Feb
2020-02-25
Semiconductor Device Structure with Interconnect Structure and Method for Forming the Same
App 20200043777 - Kung; Chun-Hao ;   et al.
2020-02-06
Magnetic Slurry for Highly Efficient CMP
App 20200043747 - Chen; Yen-Ting ;   et al.
2020-02-06
Multiple Metallization Scheme
App 20200043784 - Ho; Hsin-Ying ;   et al.
2020-02-06
Structure and Formation Method of Semiconductor Device with Conductive Feature
App 20200043786 - Wu; Li-Chieh ;   et al.
2020-02-06
Compositions For Use In Chemical Mechanical Polishing
App 20200040221 - CHIH; Fang-I ;   et al.
2020-02-06
Self-healing Polishing Pad
App 20200039022 - Kung; Chun-Hao ;   et al.
2020-02-06
Chemical Mechanical Polish Slurry and Method of Manufacture
App 20200043745 - Kung; Chun-Hao ;   et al.
2020-02-06
Semiconductor structure
Grant 10,535,768 - Tsai , et al. Ja
2020-01-14
Semiconductor Device and Method
App 20200006533 - Tsai; Ji-Yin ;   et al.
2020-01-02
Methods and systems for dopant activation using microwave radiation
Grant 10,522,356 - Tsai , et al. Dec
2019-12-31
Thickness Sensor For Conductive Features
App 20190393107 - CHEN; CHIH HUNG ;   et al.
2019-12-26
Metal Loss Prevention Using Implantation
App 20190385909 - Wu; Li-Chieh ;   et al.
2019-12-19
Fin Field-Effect Transistor device and method of forming the same
Grant 10,504,782 - Suen , et al. Dec
2019-12-10
Metal Loss Prevention Using Implantation
App 20190371664 - Wu; Li-Chieh ;   et al.
2019-12-05
Temperature Control in Chemical Mechanical Polish
App 20190337115 - Chen; Kei-Wei ;   et al.
2019-11-07
Semiconductor Structure With Doped Contact Plug And Method For Forming The Same
App 20190305107 - CHEN; Kuo-Ju ;   et al.
2019-10-03
Forming Gate Line-end Of Semiconductor Structures
App 20190287852 - Chung; Che-Liang ;   et al.
2019-09-19
Polishing Pad For Chemical Mechanical Planarization
App 20190224810 - Chen; Chih Hung ;   et al.
2019-07-25
Temperature control in chemical mechanical polish
Grant 10,350,724 - Chen , et al. July 16, 2
2019-07-16
Chemical Mechanical Polishing Method
App 20190157103 - CHEN; TUNG-KAI ;   et al.
2019-05-23
Fin field effect transistor and method for fabricating the same
Grant 10,276,715 - Tsai , et al.
2019-04-30
Semiconductor Structure
App 20190109213 - TSAI; Chun-Hsiung ;   et al.
2019-04-11
Fin Field-effect Transistor Device And Method Of Forming The Same
App 20190103312 - Suen; Shich-Chang ;   et al.
2019-04-04
Chemical Mechanical Polishing Apparatus And Method
App 20190099854 - Suen; Shich-Chang ;   et al.
2019-04-04
Chemical Mechanical Polishing Composition and Method
App 20190099853 - Suen; Shich-Chang ;   et al.
2019-04-04
Polishing pad having grooves on bottom surface of top layer
Grant 10,201,887 - Chen , et al. Feb
2019-02-12
Temperature Control in Chemical Mechanical Polish
App 20190030675 - Chen; Kei-Wei ;   et al.
2019-01-31
Fin-type Field Effect Transistor
App 20190027579 - Tsai; Chun-Hsiung ;   et al.
2019-01-24
Gate Structure, Semiconductor Device and the Method of Forming Semiconductor Device
App 20190006483 - Tsai; Chun-Hsiung ;   et al.
2019-01-03
Semiconductor device and method
Grant 10,164,053 - Chung , et al. Dec
2018-12-25
Semiconductor Device And Finfet Device
App 20180366585 - Tsai; Chun Hsiung ;   et al.
2018-12-20
Semiconductor device and fabrication method therefor
Grant 10,153,199 - Tsai , et al. Dec
2018-12-11
Gate structure, semiconductor device and the method of forming semiconductor device
Grant 10,141,417 - Tsai , et al. Nov
2018-11-27
Semiconductor Device with Multi Level Interconnects and Method of Forming the Same
App 20180337113 - Liang; Jeng Min ;   et al.
2018-11-22
Fin-type Field Effect Transistor Structure And Manufacturing Method Thereof
App 20180301453 - Tsai; Chun Hsiung ;   et al.
2018-10-18
Apparatus And Method For Timed Dispensing Various Slurry Components
App 20180281152 - CHEN; Kei-Wei ;   et al.
2018-10-04
Polishing Pad Having Grooves On Bottom Surface Of Top Layer
App 20180281150 - CHEN; Chih-Hung ;   et al.
2018-10-04
Semiconductor Structure
App 20180277678 - Tsai; Chun-Hsiung ;   et al.
2018-09-27
Semiconductor Device, Method, and Tool of Manufacture
App 20180269307 - Chen; Chih Hung ;   et al.
2018-09-20
Fin-type field effect transistor structure and manufacturing method thereof
Grant 10,079,291 - Tsai , et al. September 18, 2
2018-09-18
Semiconductor Device Including Fin Structures And Manufacturing Method Thereof
App 20180254346 - TSAI; Chun Hsiung ;   et al.
2018-09-06
Method for controlling chemical mechanical polishing process
Grant 10,058,974 - Chen , et al. August 28, 2
2018-08-28
FinFET device
Grant 10,062,780 - Tsai , et al. August 28, 2
2018-08-28
Semiconductor Device And Method For Manufacturing The Same
App 20180233565 - HUNG; Chi-Cheng ;   et al.
2018-08-16
Fin-type field effect transistor structure and manufacturing method thereof
Grant 10,002,867 - Tsai , et al. June 19, 2
2018-06-19
Semiconductor device including fin structures and manufacturing method thereof
Grant 9,991,384 - Tsai , et al. June 5, 2
2018-06-05
Semiconductor structure and manufacturing method thereof
Grant 9,978,866 - Tsai , et al. May 22, 2
2018-05-22
Semiconductor structure and manufacturing method thereof
Grant 9,947,753 - Hung , et al. April 17, 2
2018-04-17
Wafer edge trimming tool using abrasive tape
Grant 9,931,726 - Chang , et al. April 3, 2
2018-04-03
Finfet Structure And Method For Manufacturing Thereof
App 20180053825 - TSAI; CHUN HSIUNG ;   et al.
2018-02-22
FinFET structure and method for manufacturing thereof
Grant 9,859,404 - Tsai , et al. January 2, 2
2018-01-02
Apparatus And Method For Treating Wafer
App 20170352574 - CHEN; Kei-Wei ;   et al.
2017-12-07
Lithography tool with backside polisher
Grant 9,829,806 - Chang , et al. November 28, 2
2017-11-28
Fin-type Field Effect Transistor Structure And Manufacturing Method Thereof
App 20170323954 - Tsai; Chun Hsiung ;   et al.
2017-11-09
Block Layer in the Metal Gate of MOS Devices
App 20170323940 - Tsao; Jung-Chih ;   et al.
2017-11-09
Finfet Device
App 20170323971 - Tsai; Chun Hsiung ;   et al.
2017-11-09
FinFET structure and method for manufacturing thereof
Grant 9,806,154 - Tsai , et al. October 31, 2
2017-10-31
Image sensor isolation region and method of forming the same
Grant 9,786,707 - JangJian , et al. October 10, 2
2017-10-10
Semiconductor Device And Fabrication Method Therefor
App 20170278743 - TSAI; Chun Hsiung ;   et al.
2017-09-28
Fin-type Field Effect Transistor Structure And Manufacturing Method Thereof
App 20170256539 - Tsai; Chun Hsiung ;   et al.
2017-09-07
Fin-type Field Effect Transistor Structure And Manufacturing Method Thereof
App 20170250281 - Tsai; Chun Hsiung ;   et al.
2017-08-31
Fin Field Effect Transistor And Method For Fabricating The Same
App 20170250278 - Tsai; Chun Hsiung ;   et al.
2017-08-31
Block layer in the metal gate of MOS devices
Grant 9,735,231 - Tsao , et al. August 15, 2
2017-08-15
Methods and Systems for Dopant Activation Using Microwave Radiation
App 20170221713 - Tsai; Chun-Hsiung ;   et al.
2017-08-03
Finfet Device And Method Of Forming The Same
App 20170222051 - Tsai; Chun Hsiung ;   et al.
2017-08-03
FinFET device and method of forming the same
Grant 9,722,081 - Tsai , et al. August 1, 2
2017-08-01
Wafer edge trim blade with slots
Grant 9,676,114 - Kuo , et al. June 13, 2
2017-06-13
Image sensor isolation region and method of forming the same
Grant 9,673,244 - JangJian , et al. June 6, 2
2017-06-06
Gate Structure, Semiconductor Device And The Method Of Forming Semiconductor Device
App 20170110550 - TSAI; Chun-Hsiung ;   et al.
2017-04-20
Methods and systems for dopant activation using microwave radiation
Grant 9,627,212 - Tsai , et al. April 18, 2
2017-04-18
Finfet Structure And Method For Manufacturing Thereof
App 20170077269 - TSAI; CHUN HSIUNG ;   et al.
2017-03-16
Method for wafer grinding
Grant 9,566,683 - Wei , et al. February 14, 2
2017-02-14
Modular grinding apparatuses and methods for wafer thinning
Grant 9,570,311 - Kuo , et al. February 14, 2
2017-02-14
Method and apparatus for thermal mapping and thermal process control
Grant 9,536,762 - Tsai , et al. January 3, 2
2017-01-03
Semiconductor device and fabricating method thereof
Grant 9,520,477 - Hung , et al. December 13, 2
2016-12-13
FinFET structure and method for manufacturing thereof
Grant 9,515,072 - Tsai , et al. December 6, 2
2016-12-06
Method for fabricating fin field effect transistor and semiconductor device
Grant 9,508,556 - Tsai , et al. November 29, 2
2016-11-29
Resistive random-access memory (RRAM) with a low-K porous layer
Grant 9,502,647 - Chang , et al. November 22, 2
2016-11-22
Oxidation-free copper metallization process using in-situ baking
Grant 9,502,290 - Wang , et al. November 22, 2
2016-11-22
Semiconductor Structure And Manufacturing Method Thereof
App 20160336412 - HUNG; Chi-Cheng ;   et al.
2016-11-17
Methods And Systems For Dopant Activation Using Microwave Radiation
App 20160329212 - TSAI; CHUN-HSIUNG ;   et al.
2016-11-10
Semiconductor Structure And Manufacturing Method Thereof
App 20160322474 - TSAI; Chun-Hsiung ;   et al.
2016-11-03
Semiconductor Structure And Manufacturing Method Thereof
App 20160315191 - TSAI; Chun-Hsiung ;   et al.
2016-10-27
Semiconductor Device And Fabricating Method Thereof
App 20160276456 - HUNG; CHI-CHENG ;   et al.
2016-09-22
Methods and systems for dopant activation using microwave radiation
Grant 9,401,274 - Tsai , et al. July 26, 2
2016-07-26
Finfet Structure And Method For Manufacturing Thereof
App 20160211326 - TSAI; CHUN HSIUNG ;   et al.
2016-07-21
Semiconductor Device Including Fin Structures And Manufacturing Method Thereof
App 20160211371 - TSAI; Chun Hsiung ;   et al.
2016-07-21
Finfet Structure And Method For Manufacturing Thereof
App 20160190137 - TSAI; CHUN HSIUNG ;   et al.
2016-06-30
Dry etching gas and method of manufacturing semiconductor device
Grant 9,368,394 - Hung , et al. June 14, 2
2016-06-14
CIS image sensors with epitaxy layers and methods for forming the same
Grant 9,368,540 - JangJian , et al. June 14, 2
2016-06-14
BSI image sensor chips and methods for forming the same
Grant 9,356,059 - JangJian , et al. May 31, 2
2016-05-31
System and method for reducing irregularities on the surface of a backside illuminated photodiode
Grant 9,349,902 - JangJian , et al. May 24, 2
2016-05-24
Wafer polishing tool using abrasive tape
Grant 9,339,912 - Chang , et al. May 17, 2
2016-05-17
Method Of Forming Diamond Conditioners For Cmp Process
App 20160114460 - CHAO; Yen-Chang ;   et al.
2016-04-28
Resistive random-access memory (RRAM) with multi-layer device structure
Grant 9,281,475 - Chang , et al. March 8, 2
2016-03-08
Method of forming diamond conditioners for CMP process
Grant 9,254,548 - Chao , et al. February 9, 2
2016-02-09
Semiconductor device contact structures
Grant 9,224,691 - Chang , et al. December 29, 2
2015-12-29
Apparatus for Wafer Grinding
App 20150367475 - Wei; Kuo-Hsiu ;   et al.
2015-12-24
Resistive Random-access Memory (rram) With Multi-layer Device Structure
App 20150349250 - CHANG; TING-CHANG ;   et al.
2015-12-03
Resistive Random-access Memory (rram) With A Low-k Porous Layer
App 20150349251 - CHANG; TING-CHANG ;   et al.
2015-12-03
Block Layer In The Metal Gate Of Mos Devices
App 20150279838 - Tsao; Jung-Chih ;   et al.
2015-10-01
Lithography Tool With Backside Polisher
App 20150262831 - CHANG; TANG-KUEI ;   et al.
2015-09-17
Apparatus for wafer grinding
Grant 9,120,194 - Wei , et al. September 1, 2
2015-09-01
Epitaxy In Semiconductor Structure And Manufacturing Method Thereof
App 20150236124 - CHANG; SHIH-CHIEH ;   et al.
2015-08-20
Methods And Systems For Dopant Activation Using Microwave Radiation
App 20150228485 - TSAI; CHUN-HSIUNG ;   et al.
2015-08-13
Device with MOS device including a secondary metal and PVD tool with target for making same
Grant 9,070,664 - Chang , et al. June 30, 2
2015-06-30
Methods for minimizing edge peeling in the manufacturing of BSI chips
Grant 9,064,770 - Kuo , et al. June 23, 2
2015-06-23
CIS Image Sensors with Epitaxy Layers and Methods for Forming the Same
App 20150041851 - JangJian; Shiu-Ko ;   et al.
2015-02-12
CIS image sensors with epitaxy layers and methods for forming the same
Grant 8,889,461 - JangJian , et al. November 18, 2
2014-11-18
Methods and apparatus for an improved reflectivity optical grid for image sensors
Grant 8,890,273 - JangJian , et al. November 18, 2
2014-11-18
Image sensor and method of manufacturing
Grant 8,847,286 - Jangjian , et al. September 30, 2
2014-09-30
Semiconductor Device With Multi Level Interconnects And Method Of Forming The Same
App 20140209984 - Liang; Jeng Min ;   et al.
2014-07-31
Wafer Polishing Tool Using Abrasive Tape
App 20140213153 - Chang; Tang-Kuei ;   et al.
2014-07-31
Wafer Edge Trimming Tool Using Abrasive Tape
App 20140213152 - Chang; Tang-Kuei ;   et al.
2014-07-31
Method and apparatus for backside illumination sensor
Grant 8,772,899 - JangJian , et al. July 8, 2
2014-07-08
Methods for Minimizing Edge Peeling in the Manufacturing of BSI Chips
App 20140024170 - Kuo; Chun-Ting ;   et al.
2014-01-23
Device with MOS Device Including a Secondary Metal and PVD Tool with Target for Making Same
App 20130334581 - Chang; Shih-Chieh ;   et al.
2013-12-19
Semiconductor Device Contact Structures
App 20130320541 - CHANG; Shih-Chieh ;   et al.
2013-12-05
System and Method for Processing a Backside Illuminated Photodiode
App 20130320478 - JangJian; Shiu-Ko ;   et al.
2013-12-05
CIS Image Sensors with Epitaxy Layers and Methods for Forming the Same
App 20130320419 - JangJian; Shiu-Ko ;   et al.
2013-12-05
Method Of Forming Diamond Conditioners For Cmp Process
App 20130288582 - CHAO; Yen-Chang ;   et al.
2013-10-31
Image Sensor Isolation Region and Method of Forming the Same
App 20130280849 - JangJian; Shiu-Ko ;   et al.
2013-10-24
Image Sensor Manufacturing Methods
App 20130273686 - Cheng; Mu-Han ;   et al.
2013-10-17
Oxidation-Free Copper Metallization Process Using In-situ Baking
App 20130273735 - Wang; Yu-Sheng ;   et al.
2013-10-17
Semiconductor device
Grant 8,552,529 - Tsao , et al. October 8, 2
2013-10-08
Nickel alloy target including a secondary metal
Grant 8,551,193 - Chang , et al. October 8, 2
2013-10-08
Image Sensor Isolation Region and Method of Forming the Same
App 20130234202 - JangJian; Shiu-Ko ;   et al.
2013-09-12
Via/contact and damascene structures
Grant 8,531,036 - Chang , et al. September 10, 2
2013-09-10
Method and Apparatus for Backside Illumination Sensor
App 20130228886 - JangJian; Shiu-Ko ;   et al.
2013-09-05
Wafer Edge Trim Blade With Slots
App 20130220090 - KUO; Chun-Ting ;   et al.
2013-08-29
Semiconductor device contact structures and methods for making the same
Grant 8,518,819 - Chang , et al. August 27, 2
2013-08-27
Modular Grinding Apparatuses And Methods For Wafer Thinning
App 20130210321 - KUO; Chun-Ting ;   et al.
2013-08-15
Wafer Thinning Apparatus Having Feedback Control And Method Of Using
App 20130210172 - Chen; Yuan-Hsuan ;   et al.
2013-08-15
Methods and Apparatus for an Improved Reflectivity Optical Grid for Image Sensors
App 20130193538 - JangJian; Shiu-Ko ;   et al.
2013-08-01
Image Sensor And Method Of Manufacturing
App 20130181258 - JangJian; Shiu-Ko ;   et al.
2013-07-18
Oxidation-free copper metallization process using in-situ baking
Grant 8,470,390 - Wang , et al. June 25, 2
2013-06-25
BSI Image Sensor Chips and Methods for Forming the Same
App 20130153901 - JangJian; Shiu-Ko ;   et al.
2013-06-20
Stressed semiconductor device and method of manufacturing
Grant 8,455,883 - Liao , et al. June 4, 2
2013-06-04
Semiconductor Manufacturing Apparatus And Method Of Manufacturing Semiconductor Device
App 20130102152 - CHAO; Yen-Chang ;   et al.
2013-04-25
Nickel Alloy Target Including a Secondary Metal
App 20130020617 - Chang; Shih-Chieh ;   et al.
2013-01-24
Apparatus for Wafer Grinding
App 20130023188 - Wei; Kuo-Hsiu ;   et al.
2013-01-24
Stressed Semiconductor Device And Method Of Manufacturing
App 20120292639 - Liao; Miao-Cheng ;   et al.
2012-11-22
Via/contact And Damascene Structures
App 20120292768 - Chang; Shih-Chieh ;   et al.
2012-11-22
Chemical Mechanical Polishing Slurry, System And Method
App 20120264303 - CHEN; Kei-Wei ;   et al.
2012-10-18
Semiconductor Device
App 20120241908 - TSAO; Jung-Chih ;   et al.
2012-09-27
Semiconductor Device Contact Structures And Methods For Making The Same
App 20120235299 - CHANG; Shih Chieh ;   et al.
2012-09-20
Via/contact and damascene structures and manufacturing methods thereof
Grant 8,247,322 - Chang , et al. August 21, 2
2012-08-21
Current-leveling electroplating/electropolishing electrode
Grant 8,099,861 - Chang , et al. January 24, 2
2012-01-24
Alpha tantalum capacitor plate
Grant 7,969,708 - Tsao , et al. June 28, 2
2011-06-28
Current-leveling Electroplating/electropolishing Electrode
App 20100314256 - Chang; Shih-Chieh ;   et al.
2010-12-16
Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
Grant 7,837,841 - Chen , et al. November 23, 2
2010-11-23
Current-leveling electroplating/electropolishing electrode
Grant 7,803,257 - Chang , et al. September 28, 2
2010-09-28
Semiconductor Device
App 20100230815 - Tsao; Jung-Chih ;   et al.
2010-09-16
Oxidation-Free Copper Metallization Process Using In-situ Baking
App 20090181164 - Wang; Yu-Sheng ;   et al.
2009-07-16
Forming Seed Layer in Nano-Trench Structure Using Net Deposition and Net Etch
App 20090127097 - Chen; Kei-Wei ;   et al.
2009-05-21
Alpha Tantalum Capacitor Plate
App 20090116169 - Tsao; Jung-Chih ;   et al.
2009-05-07
Semiconductor Device
App 20080251889 - Tsao; Jung-Chih ;   et al.
2008-10-16
Apparatuses For Electrochemical Deposition, Conductive Layer, And Fabrication Methods Thereof
App 20080223724 - Chen; Kei-Wei ;   et al.
2008-09-18
Via/contact And Damascene Structures And Manufacturing Methods Thereof
App 20080211106 - Chang; Shih-Chieh ;   et al.
2008-09-04
Via structure and process for forming the same
Grant 7,417,321 - Tsao , et al. August 26, 2
2008-08-26
Multi-layer interconnect structure for semiconductor devices
Grant 7,368,379 - Tsao , et al. May 6, 2
2008-05-06
Method of reducing oxygen content in ECP solution
App 20080067076 - Cheng; Ming-Yuan ;   et al.
2008-03-20
Copper plating of semiconductor devices using single intermediate low power immersion step
Grant 7,312,149 - Chen , et al. December 25, 2
2007-12-25
Test device and method for laser alignment calibration
Grant 7,304,728 - Chang , et al. December 4, 2
2007-12-04
Barrier layer for semiconductor interconnect structure
App 20070257366 - Wang; Yu-Sheng ;   et al.
2007-11-08
Semiconductor Devices And Fabrication Method Thereof
App 20070252277 - Tsao; Jung-Chih ;   et al.
2007-11-01
Low-k dielectric layer, semiconductor device, and method for fabricating the same
App 20070205516 - Chen; Kei-Wei ;   et al.
2007-09-06
Via structure and process for forming the same
App 20070152342 - Tsao; Jung-Chih ;   et al.
2007-07-05
Semiconductor device
App 20070126120 - Tsao; Jung-Chih ;   et al.
2007-06-07
Method to reduce Rs pattern dependence effect
Grant 7,208,404 - Tsao , et al. April 24, 2
2007-04-24
Plating apparatuses and processes
App 20070084730 - Chen; Kei-Wei ;   et al.
2007-04-19
Metal-filled openings for submicron devices and methods of manufacture thereof
Grant 7,199,045 - Liu , et al. April 3, 2
2007-04-03
Method of reducing the pattern effect in the CMP process
Grant 7,183,199 - Liu , et al. February 27, 2
2007-02-27
Interconnect structure for semiconductor devices
App 20070034517 - Tsao; Jung-Chih ;   et al.
2007-02-15
Electropolishing method for removing particles from wafer surface
Grant 7,128,821 - Lin , et al. October 31, 2
2006-10-31
Method of forming barrier layer with reduced resistivity and improved reliability in copper damascene process
Grant 7,071,100 - Chen , et al. July 4, 2
2006-07-04
Current-leveling electroplating/electropolishing electrode
App 20060086609 - Chang; Shih-Chieh ;   et al.
2006-04-27
Test device and method for laser alignment calibration
App 20060055928 - Chang; Shih-Tzung ;   et al.
2006-03-16
Metal-filled openings for submicron devices and methods of manufacture thereof
App 20050275941 - Liu, Chi-Wen ;   et al.
2005-12-15
Copper plating of semiconductor devices using intermediate immersion step
App 20050250327 - Chen, Chao-Lung ;   et al.
2005-11-10
Novel ECP method for preventing the formation of voids and contamination in vias
App 20050236181 - Chen, Kei-Wei ;   et al.
2005-10-27
Method of forming barrier layer with reduced resistivity and improved reliability in copper damascene process
App 20050191855 - Chen, Kei-Wei ;   et al.
2005-09-01
Electropolishing method for removing particles from wafer surface
App 20050155869 - Lin, Shih-Ho ;   et al.
2005-07-21
Thrust pad assembly for ECP system
App 20050121329 - Tsao, Jung-Chih ;   et al.
2005-06-09
Method of reducing the pattern effect in the CMP process
App 20050118808 - Liu, Chi-Wen ;   et al.
2005-06-02
Novel method to reduce Rs pattern dependence effect
App 20050085066 - Tsao, Jung-Chih ;   et al.
2005-04-21
Removal of SiON residue after CMP
Grant 6,828,226 - Chen , et al. December 7, 2
2004-12-07
Method and system for slurry usage reduction in chemical mechanical polishing
Grant 6,769,959 - Chen , et al. August 3, 2
2004-08-03
Novel method to reduce stress for copper CMP
App 20040147116 - Chen, Kei-Wei ;   et al.
2004-07-29
Method for improving thickness uniformity on a semiconductor wafer during chemical mechanical polishing
Grant 6,626,741 - Wang , et al. September 30, 2
2003-09-30
Method and system for slurry usage reduction in chemical mechanical polishing
App 20030143924 - Chen, Kei-Wei ;   et al.
2003-07-31
Method for improving thickness uniformity on a semiconductor wafer during chemical mechanical polishing
App 20030017784 - Wang, Ting-Chun ;   et al.
2003-01-23

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed