loadpatents
name:-0.25286984443665
name:-0.14410495758057
name:-0.04604697227478
CHUNG; Hua Patent Filings

CHUNG; Hua

Patent Applications and Registrations

Patent applications and USPTO patent grants for CHUNG; Hua.The latest application filed is for "selective cobalt deposition on copper surfaces".

Company Profile
49.139.192
  • CHUNG; Hua - San Jose CA
  • Chung; Hua - Saratoga CA
  • Chung; Hua - Taipei TW
  • Chung; Hua - San Jacinto CA US
  • Chung; Hua - Jan Jose CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Selective Cobalt Deposition On Copper Surfaces
App 20220298625 - YU; Sang-Ho ;   et al.
2022-09-22
Silicon mandrel etch after native oxide punch-through
Grant 11,387,115 - Yan , et al. July 12, 2
2022-07-12
Selective cobalt deposition on copper surfaces
Grant 11,384,429 - Yu , et al. July 12, 2
2022-07-12
Processing of workpieces with reactive species generated using alkyl halide
Grant 11,387,111 - Yang , et al. July 12, 2
2022-07-12
Processing of workpieces using ozone gas and hydrogen radicals
Grant 11,315,801 - Zhang , et al. April 26, 2
2022-04-26
Selective Etch Process Using Hydrofluoric Acid and Ozone Gases
App 20220084839 - Zhang; Qi ;   et al.
2022-03-17
Generation of Hydrogen Reactive Species For Processing of Workpieces
App 20220059321 - Zhang; Qi ;   et al.
2022-02-24
Silicon oxide selective dry etch process
Grant 11,251,050 - Zhang , et al. February 15, 2
2022-02-15
Surface Smoothing of Workpieces
App 20210391185 - Zhang; Qi ;   et al.
2021-12-16
Spacer open process by dual plasma
Grant 11,195,718 - Sung , et al. December 7, 2
2021-12-07
Processing of Workpieces Using Ozone Gas and Hydrogen Radicals
App 20210366727 - Zhang; Qi ;   et al.
2021-11-25
Selective etch process using hydrofluoric acid and ozone gases
Grant 11,183,397 - Zhang , et al. November 23, 2
2021-11-23
Selective deposition using methylation treatment
Grant 11,164,742 - Yang , et al. November 2, 2
2021-11-02
Generation of hydrogen reactive species for processing of workpieces
Grant 11,164,725 - Zhang , et al. November 2, 2
2021-11-02
Processing of workpieces using hydrogen radicals and ozone gas
Grant 11,164,727 - Xie , et al. November 2, 2
2021-11-02
Integrated system for semiconductor process
Grant 11,164,767 - Bao , et al. November 2, 2
2021-11-02
Air Leak Detection In Plasma Processing Apparatus With Separation Grid
App 20210307151 - Meng; Shuang ;   et al.
2021-09-30
Methods for depositing metallic iridium and iridium silicide
Grant 11,124,874 - Chung , et al. September 21, 2
2021-09-21
Surface smoothing of workpieces
Grant 11,107,695 - Zhang , et al. August 31, 2
2021-08-31
Plasma Processing Apparatus and Methods
App 20210257196 - Ma; Shawming ;   et al.
2021-08-19
Surface treatment of silicon or silicon germanium surfaces using organic radicals
Grant 11,062,910 - Yang , et al. July 13, 2
2021-07-13
Systems and Methods for Removal of Hardmask
App 20210202231 - Sahay; Jeyta Anand ;   et al.
2021-07-01
Chamber Seasoning to Improve Etch Uniformity by Reducing Chemistry
App 20210202214 - Zhang; Qi ;   et al.
2021-07-01
Ozone treatment for selective silicon nitride etch over silicon
Grant 11,043,393 - Wang , et al. June 22, 2
2021-06-22
Air leak detection in plasma processing apparatus with separation grid
Grant 11,039,527 - Meng , et al. June 15, 2
2021-06-15
Method of selective silicon germanium epitaxy at low temperatures
Grant 11,018,003 - Huang , et al. May 25, 2
2021-05-25
Method of forming conformal epitaxial semiconductor cladding material over a fin field effect transistor (FINFET) device
Grant 11,011,635 - Kung , et al. May 18, 2
2021-05-18
Selective Etch Process Using Hydrofluoric Acid and Ozone Gases
App 20210118694 - Zhang; Qi ;   et al.
2021-04-22
Integration of materials removal and surface treatment in semiconductor device fabrication
Grant 10,964,528 - Yang , et al. March 30, 2
2021-03-30
Methods For The Treatment Of Workpieces
App 20210082724 - Xie; Ting ;   et al.
2021-03-18
Method for processing a workpiece
Grant 10,950,428 - Xie , et al. March 16, 2
2021-03-16
Chamber seasoning to improve etch uniformity by reducing chemistry
Grant 10,950,416 - Zhang , et al. March 16, 2
2021-03-16
Silicon Oxide Selective Dry Etch Process
App 20210066088 - Zhang; Qi ;   et al.
2021-03-04
Method for Processing a Workpiece
App 20210066074 - Xie; Ting ;   et al.
2021-03-04
Surface treatment of carbon containing films using organic radicals
Grant 10,910,228 - Yang , et al. February 2, 2
2021-02-02
Processing Of Workpieces Using Deposition Process And Etch Process
App 20210020445 - Wang; Shanyu ;   et al.
2021-01-21
Processing Of Workpieces Using Hydrogen Radicals And Ozone Gas
App 20210020413 - Xie; Ting ;   et al.
2021-01-21
Spacer Open Process By Dual Plasma
App 20210005456 - Sung; Tsai Wen ;   et al.
2021-01-07
Surface Pretreatment Process To Improve Quality Of Oxide Films Produced By Remote Plasma
App 20200373129 - Xie; Ting ;   et al.
2020-11-26
Selective Deposition Using Methylation Treatment
App 20200350161 - Yang; Michael X. ;   et al.
2020-11-05
Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
Grant 10,804,109 - Yang , et al. October 13, 2
2020-10-13
Air Leak Detection In Plasma Processing Apparatus With Separation Grid
App 20200245444 - Kind Code
2020-07-30
Post Plasma Gas Injection In A Separation Grid
App 20200243305 - Zeng; Weimin ;   et al.
2020-07-30
Ozone Treatment for Selective Silicon Nitride Etch Over Silicon
App 20200234969 - Wang; Shanyu ;   et al.
2020-07-23
Silicon Mandrel Etch After Native Oxide Punch-through
App 20200203182 - Yan; Chun ;   et al.
2020-06-25
Surface Smoothing of Workpieces
App 20200203173 - Zhang; Qi ;   et al.
2020-06-25
Silicon oxide selective dry etch process
Grant 10,692,730 - Zhang , et al.
2020-06-23
Integration Of Materials Removal And Surface Treatment In Semiconductor Device Fabrication
App 20200185216 - Yang; Michael X. ;   et al.
2020-06-11
Chamber Seasoning to Improve Etch Uniformity by Reducing Chemistry
App 20200161094 - Zhang; Qi ;   et al.
2020-05-21
Methods For Depositing Metallic Iridium And Iridium Silicide
App 20200131633 - CHUNG; Hua ;   et al.
2020-04-30
Water Vapor Based Fluorine Containing Plasma For Removal Of Hardmask
App 20200135554 - Hou; Li ;   et al.
2020-04-30
Ozone for Selective Hydrophilic Surface Treatment
App 20200118813 - Xie; Ting ;   et al.
2020-04-16
Oxide Removal From Titanium Nitride Surfaces
App 20200075313 - Wang; Jin J. ;   et al.
2020-03-05
Method Of Selective Silicon Germanium Epitaxy At Low Temperatures
App 20200035489 - HUANG; Yi-Chiau ;   et al.
2020-01-30
Integrated System For Semiconductor Process
App 20200035525 - BAO; Xinyu ;   et al.
2020-01-30
Generation of Hydrogen Reactive Species For Processing of Workpieces
App 20190378692 - Zhang; Qi ;   et al.
2019-12-12
Integrated system and method for source/drain engineering
Grant 10,504,717 - Yan , et al. Dec
2019-12-10
Method and apparatus for selective epitaxy
Grant 10,504,723 - Li , et al. Dec
2019-12-10
Processing Of Workpieces With Reactive Species Generated Using Alkyl Halide
App 20190318937 - Yang; Michael X. ;   et al.
2019-10-17
Surface Treatment Of Silicon Or Silicon Germanium Surfaces Using Organic Radicals
App 20190304793 - Yang; Michael X. ;   et al.
2019-10-03
Low Temperature In-situ Cleaning Method For Epi-chambers
App 20190301011 - BAJAJ; Geetika ;   et al.
2019-10-03
Integration of materials removal and surface treatment in semiconductor device fabrication
Grant 10,403,492 - Yang , et al. Sep
2019-09-03
Surface treatment of silicon or silicon germanium surfaces using organic radicals
Grant 10,354,883 - Yang , et al. July 16, 2
2019-07-16
Surface Treatment Of Carbon Containing Films Using Organic Radicals
App 20190214262 - Yang; Michael X. ;   et al.
2019-07-11
Plasma Processing Apparatus and Methods
App 20190198301 - Ma; Shawming ;   et al.
2019-06-27
UV radiation system and method for arsenic outgassing control in sub 7nm CMOS fabrication
Grant 10,332,739 - Yan , et al.
2019-06-25
Degassing Chamber For Arsenic Related Processes
App 20190169767 - BAO; Xinyu ;   et al.
2019-06-06
Method And Apparatus For Wafer Outgassing Control
App 20190172728 - BAO; Xinyu ;   et al.
2019-06-06
Methods for titanium silicide formation using TiCl.sub.4 precursor and silicon-containing precursor
Grant 10,312,096 - Chung , et al.
2019-06-04
Selective process for source and drain formation
Grant 10,276,688 - Bao , et al.
2019-04-30
Self-aligned EPI contact flow
Grant 10,269,647 - Zhang , et al.
2019-04-23
Surface treatment of carbon containing films using organic radicals
Grant 10,269,574 - Yang , et al.
2019-04-23
Co-doping process for n-MOS source drain application
Grant 10,256,322 - Bao , et al.
2019-04-09
Surface Treatment Of Carbon Containing Films Using Organic Radicals
App 20190103280 - Yang; Michael X. ;   et al.
2019-04-04
Surface Treatment Of Silicon And Carbon Containing Films By Remote Plasma With Organic Precursors
App 20190103270 - Yang; Michael X. ;   et al.
2019-04-04
Surface Treatment Of Silicon Or Silicon Germanium Surfaces Using Organic Radicals
App 20190103279 - Yang; Michael X. ;   et al.
2019-04-04
Low Temperature Deposition Of Iridium Containing Films
App 20190078203 - Liu; Feng Q. ;   et al.
2019-03-14
Self-aligned process for sub-10nm fin formation
Grant 10,224,421 - Ye , et al.
2019-03-05
Method of epitaxial growth shape control for CMOS applications
Grant 10,205,002 - Bao , et al. Feb
2019-02-12
Integrated System And Method For Source/drain Engineering
App 20190035623 - YAN; Chun ;   et al.
2019-01-31
Method to enhance growth rate for selective epitaxial growth
Grant 10,128,110 - Dube , et al. November 13, 2
2018-11-13
Method and apparatus for wafer outgassing control
Grant 10,115,607 - Bao , et al. October 30, 2
2018-10-30
Systems and methods for monitoring and characterizing information handling system use behavior
Grant 10,116,012 - Chang , et al. October 30, 2
2018-10-30
Co-doping Process For N-mos Source Drain Application
App 20180286962 - BAO; Xinyu ;   et al.
2018-10-04
Selective Process For Source And Drain Formation
App 20180286961 - BAO; Xinyu ;   et al.
2018-10-04
Integrated system and method for source/drain engineering
Grant 10,090,147 - Yan , et al. October 2, 2
2018-10-02
Self-aligned Process For Sub-10nm Fin Formation
App 20180277649 - YE; Zhiyuan ;   et al.
2018-09-27
Method And Apparatus For Low Temperature Selective Epitaxy In A Deep Trench
App 20180230624 - DUBE; Abhishek ;   et al.
2018-08-16
Integrated method for wafer outgassing reduction
Grant 10,043,667 - Yan , et al. August 7, 2
2018-08-07
Self-aligned Epi Contact Flow
App 20180211881 - ZHANG; Ying ;   et al.
2018-07-26
Template Formation For Fully Relaxed Sige Growth
App 20180211836 - YAN; Chun ;   et al.
2018-07-26
Method And Apparatus For Selective Epitaxy
App 20180190489 - LI; Xuebin ;   et al.
2018-07-05
Integrated System And Method For Source/drain Engineering
App 20180174825 - YAN; Chun ;   et al.
2018-06-21
Methods For Silicide Formation
App 20180166288 - CHUNG; Hua ;   et al.
2018-06-14
Method Of Forming Conformal Epitaxial Semiconductor Cladding Material Over A Fin Field Effect Transistor (finfet) Device
App 20180166570 - KUNG; Sheng-Chin ;   et al.
2018-06-14
Method To Enhance Growth Rate For Selective Epitaxial Growth
App 20180158682 - Dube; Abhishek ;   et al.
2018-06-07
Self-aligned multiple spacer patterning schemes for advanced nanometer technology
Grant 9,978,596 - Zhang , et al. May 22, 2
2018-05-22
Method of doped germanium formation
Grant 9,966,438 - Huang , et al. May 8, 2
2018-05-08
Method for modifying epitaxial growth shape
Grant 9,966,271 - Liu , et al. May 8, 2
2018-05-08
Method of decontamination of process chamber after in-situ chamber clean
Grant 9,932,670 - Su , et al. April 3, 2
2018-04-03
Method to grow thin epitaxial films at low temperature
Grant 9,929,055 - Dube , et al. March 27, 2
2018-03-27
Uv Radiation System And Method For Arsenic Outgassing Control In Sub 7nm Cmos Fabrication
App 20180082835 - YAN; Chun ;   et al.
2018-03-22
Method Of Doped Germanium Formation
App 20180083104 - HUANG; Yi-Chiau ;   et al.
2018-03-22
Method And Apparatus For Wafer Outgassing Control
App 20180082874 - BAO; Xinyu ;   et al.
2018-03-22
Integrated System And Method For Source/drain Engineering
App 20180082836 - YAN; Chun ;   et al.
2018-03-22
Selective process for source and drain formation
Grant 9,923,081 - Bao , et al. March 20, 2
2018-03-20
Degassing Chamber For Arsenic Related Processes
App 20180073162 - BAO; Xinyu ;   et al.
2018-03-15
Method Of Contact Formation Between Metal And Semiconductor
App 20180076324 - HUANG; Yi-Chiau ;   et al.
2018-03-15
Integrated Method For Wafer Outgassing Reduction
App 20180076031 - YAN; Chun ;   et al.
2018-03-15
Integrated System For Semiconductor Process
App 20180076065 - BAO; Xinyu ;   et al.
2018-03-15
Method Of Selective Epitaxy
App 20180047569 - HUANG; Yi-Chiau ;   et al.
2018-02-15
Method Of Epitaxial Growth Shape Control For Cmos Applications
App 20180033872 - BAO; Xinyu ;   et al.
2018-02-01
Method to enhance growth rate for selective epitaxial growth
Grant 9,881,790 - Dube , et al. January 30, 2
2018-01-30
Selective Cobalt Deposition On Copper Surfaces
App 20170321320 - YU; Sang-Ho ;   et al.
2017-11-09
Method Of Selective Etching On Epitaxial Film On Source/drain Area Of Transistor
App 20170323795 - LI; Xuebin ;   et al.
2017-11-09
Method For Fabricating Nanowires For Horizontal Gate All Around Devices For Semiconductor Applications
App 20170194430 - WOOD; Bingxi Sun ;   et al.
2017-07-06
Method To Grow Thin Epitaxial Films At Low Temperature
App 20170178962 - DUBE; Abhishek ;   et al.
2017-06-22
Method For Modifying Epitaxial Growth Shape
App 20170148636 - LIU; Wei ;   et al.
2017-05-25
Self-aligned Multiple Spacer Patterning Schemes For Advanced Nanometer Technology
App 20170092494 - ZHANG; Ying ;   et al.
2017-03-30
Trench formation with CD less than 10nm for replacement fin growth
Grant 9,553,147 - Zhang , et al. January 24, 2
2017-01-24
Method Of Selective Epitaxy
App 20170018427 - HUANG; Yi-Chiau ;   et al.
2017-01-19
Self-aligned multiple spacer patterning schemes for advanced nanometer technology
Grant 9,548,201 - Zhang , et al. January 17, 2
2017-01-17
Method to grow thin epitaxial films at low temperature
Grant 9,530,638 - Dube , et al. December 27, 2
2016-12-27
Fin structure formation by selective etching
Grant 9,530,637 - Zhang , et al. December 27, 2
2016-12-27
Method for fabricating vertically stacked nanowires for semiconductor applications
Grant 9,508,831 - Zhang , et al. November 29, 2
2016-11-29
Method To Enhance Growth Rate For Selective Epitaxial Growth
App 20160300715 - DUBE; Abhishek ;   et al.
2016-10-13
Method for fabricating vertically stacked nanowires for semiconductor applications
Grant 9,419,107 - Zhang , et al. August 16, 2
2016-08-16
Trimming silicon fin width through oxidation and etch
Grant 9,412,603 - Zhang , et al. August 9, 2
2016-08-09
Method to form trench structure for replacement channel growth
Grant 9,401,310 - Zhang , et al. July 26, 2
2016-07-26
Self aligned replacement Fin formation
Grant 9,373,546 - Zhang , et al. June 21, 2
2016-06-21
Systems And Methods For Monitoring And Characterizing Information Handling System Use Behavior
App 20160172719 - Chang; Chia-Fa ;   et al.
2016-06-16
Method To Grow Thin Epitaxial Films At Low Temperature
App 20160126093 - DUBE; Abhishek ;   et al.
2016-05-05
Fin Structure Formation By Selective Etching
App 20160099178 - ZHANG; Ying ;   et al.
2016-04-07
Systems and methods for monitoring and characterizing information handling system use behavior
Grant 9,300,015 - Chang , et al. March 29, 2
2016-03-29
Self Aligned Replacement Fin Formation
App 20160079126 - ZHANG; Ying ;   et al.
2016-03-17
Method for fabricating vertically stacked nanowires for semiconductor applications
Grant 9,287,386 - Zhang , et al. March 15, 2
2016-03-15
Trench Formation With Cd Less Than 10nm For Replacement Fin Growth
App 20160013273 - ZHANG; Ying ;   et al.
2016-01-14
Method For Fabricating Vertically Stacked Nanowires For Semiconductor Applications
App 20150372118 - ZHANG; Ying ;   et al.
2015-12-24
Method For Fabricating Vertically Stacked Nanowires For Semiconductor Applications
App 20150371868 - ZHANG; Ying ;   et al.
2015-12-24
Self-aligned Multiple Spacer Patterning Schemes For Advanced Nanometer Technology
App 20150371852 - ZHANG; Ying ;   et al.
2015-12-24
Method For Fabricating Vertically Stacked Nanowires For Semiconductor Applications
App 20150372119 - ZHANG; Ying ;   et al.
2015-12-24
Methods for silicon recess structures in a substrate by utilizing a doping layer
Grant 9,214,377 - Zhang , et al. December 15, 2
2015-12-15
Self aligned replacement fin formation
Grant 9,209,279 - Zhang , et al. December 8, 2
2015-12-08
Selective Cobalt Deposition On Copper Surfaces
App 20150325446 - YU; Sang-Ho ;   et al.
2015-11-12
Hardmask Trimming In Semiconductor Fin Patterning
App 20150228503 - Zhang; Ying ;   et al.
2015-08-13
Method To Form Trench Structure For Replacement Channel Growth
App 20150221556 - Zhang; Ying ;   et al.
2015-08-06
Trimming Silicon Fin Width Through Oxidation And Etch
App 20150140787 - ZHANG; Ying ;   et al.
2015-05-21
Atomic layer deposition apparatus
Grant 9,031,685 - Chin , et al. May 12, 2
2015-05-12
Methods For Silicon Recess Structures In A Substrate By Utilizing A Doping Layer
App 20150118822 - ZHANG; Ying ;   et al.
2015-04-30
Trench Formation With Cd Less Than 10 Nm For Replacement Fin Growth
App 20150099347 - ZHANG; Ying ;   et al.
2015-04-09
Trench formation with CD less than 10 NM for replacement Fin growth
Grant 8,993,419 - Zhang , et al. March 31, 2
2015-03-31
Gas distribution showerhead and method of cleaning
Grant 8,980,379 - Hanawa , et al. March 17, 2
2015-03-17
Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
Grant 8,910,644 - Chung , et al. December 16, 2
2014-12-16
Cu surface plasma treatment to improve gapfill window
Grant 8,764,961 - Luo , et al. July 1, 2
2014-07-01
Atomic Layer Deposition Apparatus
App 20140130739 - CHIN; Barry L. ;   et al.
2014-05-15
Method Of Decontamination Of Process Chamber After In-situ Chamber Clean
App 20140116470 - SU; Jie ;   et al.
2014-05-01
Gas delivery apparatus and method for atomic layer deposition
Grant 8,668,776 - Chen , et al. March 11, 2
2014-03-11
Atomic layer deposition apparatus
Grant 8,626,330 - Chin , et al. January 7, 2
2014-01-07
Sputtering chamber having auxiliary backside magnet to improve etch uniformity and magnetron producing sustained self sputtering of ruthenium and tantalum
Grant 8,557,094 - Tang , et al. October 15, 2
2013-10-15
Fabrication Of Light Emitting Diodes (leds) Using A Degas Process
App 20130023079 - Kang; Sang Won ;   et al.
2013-01-24
Formation Of Iii-v Materials Using Mocvd With Chlorine Cleans Operations
App 20130005118 - Jun; Sung Won ;   et al.
2013-01-03
Enhanced Magnesium Incorporation Into Gallium Nitride Films Through High Pressure Or Ald-type Processing
App 20120315741 - Su; Jie ;   et al.
2012-12-13
Integration of ALD tantalum nitride for copper metallization
Grant 8,324,095 - Chung , et al. December 4, 2
2012-12-04
Enhanced copper growth with ultrathin barrier layer for high performance interconnects
Grant 8,318,266 - Chen , et al. November 27, 2
2012-11-27
Enhanced copper growth with ultrathin barrier layer for high performance interconnects
Grant 8,293,328 - Chen , et al. October 23, 2
2012-10-23
Bottom up plating by organic surface passivation and differential plating retardation
Grant 8,293,647 - Wang , et al. October 23, 2
2012-10-23
Integrated Metrology For Wafer Screening
App 20120234238 - Hsu; Wei-Yung ;   et al.
2012-09-20
Cleaning plate for inducing turbulent flow of a processing chamber cleaning glass
Grant D664,170 - Chung , et al. July 24, 2
2012-07-24
Gap Fill Improvement Methods For Phase-change Materials
App 20120175245 - Ye; Mengqi ;   et al.
2012-07-12
Epitaxial Growth Temperature Control In Led Manufacture
App 20120118225 - HSU; Wei-Yung ;   et al.
2012-05-17
Gas Distribution Showerhead With High Emissivity Surface
App 20120052216 - Hanawa; Hiroji ;   et al.
2012-03-01
Process for selective growth of films during ECP plating
Grant 8,119,525 - Yu , et al. February 21, 2
2012-02-21
Atomic Layer Deposition Apparatus
App 20120006265 - CHIN; BARRY L. ;   et al.
2012-01-12
Methods For Enhanced Processing Chamber Cleaning
App 20120000490 - CHUNG; HUA ;   et al.
2012-01-05
Method And Apparatus For Inducing Turbulent Flow Of A Processing Chamber Cleaning Gas
App 20110308551 - Chung; Hua ;   et al.
2011-12-22
Apparatus and method for hybrid chemical processing
Grant 8,070,879 - Chen , et al. December 6, 2
2011-12-06
Method and apparatus for generating a precursor for a semiconductor processing system
Grant 8,062,422 - Chen , et al. November 22, 2
2011-11-22
Systems and methods for monitoring and characterizing information handling system use behavior
App 20110276810 - Chang; Chia-Fa ;   et al.
2011-11-10
Method Of Direct Plating Of Copper On A Ruthenium Alloy
App 20110259750 - Hafezi; Hooman ;   et al.
2011-10-27
Atomic layer deposition apparatus
Grant 8,027,746 - Chin , et al. September 27, 2
2011-09-27
Method Of Decontamination Of Process Chamber After In-situ Chamber Clean
App 20110117728 - Su; Jie ;   et al.
2011-05-19
Atomic Layer Deposition Apparatus
App 20110111603 - CHIN; BARRY L. ;   et al.
2011-05-12
Sequential Deposition Of Tantalum Nitride Using A Tantalum-containing Precursor And A Nitrogen-containing Precursor
App 20110070730 - Cao; Wei ;   et al.
2011-03-24
Gas Distribution Showerhead And Method Of Cleaning
App 20110052833 - HANAWA; HIROJI ;   et al.
2011-03-03
Cyclical deposition of refractory metal silicon nitride
Grant 7,892,602 - Chung , et al. February 22, 2
2011-02-22
Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
Grant 7,867,896 - Cao , et al. January 11, 2
2011-01-11
Atomic layer deposition apparatus
Grant 7,860,597 - Chin , et al. December 28, 2
2010-12-28
Method for forming tungsten materials during vapor deposition processes
Grant 7,846,840 - Kori , et al. December 7, 2
2010-12-07
Gas Delivery Apparatus And Method For Atomic Layer Deposition
App 20100247767 - CHEN; LING ;   et al.
2010-09-30
Gas delivery apparatus for atomic layer deposition
Grant 7,780,785 - Chen , et al. August 24, 2
2010-08-24
Gas delivery apparatus for atomic layer deposition
Grant 7,780,788 - Chen , et al. August 24, 2
2010-08-24
Methods for depositing tungsten layers employing atomic layer deposition techniques
Grant 7,745,333 - Lai , et al. June 29, 2
2010-06-29
Selective ruthenium deposition on copper materials
Grant 7,737,028 - Wang , et al. June 15, 2
2010-06-15
Bottom Up Plating By Organic Surface Passivation And Differential Plating Retardation
App 20100130007 - WANG; JENN-YUE ;   et al.
2010-05-27
Cu Surface Plasma Treatment To Improve Gapfill Window
App 20100096273 - Luo; Qian ;   et al.
2010-04-22
Atomic Layer Deposition Apparatus
App 20100099270 - Chin; Barry L. ;   et al.
2010-04-22
Gap Fill Improvement Methods For Phase-change Materials
App 20100096255 - YE; MENGQI ;   et al.
2010-04-22
Gas delivery apparatus for atomic layer deposition
Grant 7,699,023 - Chen , et al. April 20, 2
2010-04-20
Method For Forming Tungsten Materials During Vapor Deposition Processes
App 20100093170 - Kori; Moris ;   et al.
2010-04-15
Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
Grant 7,691,742 - Marcadal , et al. April 6, 2
2010-04-06
Integration Of Ald Tantalum Nitride For Copper Metallization
App 20100075494 - Chung; Hua ;   et al.
2010-03-25
Method for forming tungsten materials during vapor deposition processes
Grant 7,674,715 - Kori , et al. March 9, 2
2010-03-09
Atomic layer deposition apparatus
Grant 7,660,644 - Chin , et al. February 9, 2
2010-02-09
Oxidized barrier layer
Grant 7,659,204 - Tang , et al. February 9, 2
2010-02-09
Apparatus And Method For Hybrid Chemical Processing
App 20090308318 - Chen; Ling ;   et al.
2009-12-17
Selective Cobalt Deposition On Copper Surfaces
App 20090269507 - Yu; Sang-Ho ;   et al.
2009-10-29
Formation of composite tungsten films
Grant 7,605,083 - Lai , et al. October 20, 2
2009-10-20
Chemical precursor ampoule for vapor deposition processes
Grant 7,597,758 - Chen , et al. October 6, 2
2009-10-06
Atomic layer deposition of barrier materials
Grant 7,595,263 - Chung , et al. September 29, 2
2009-09-29
Apparatus for hybrid chemical processing
Grant 7,591,907 - Chen , et al. September 22, 2
2009-09-22
Apparatus and method for generating a chemical precursor
Grant 7,588,736 - Chen , et al. September 15, 2
2009-09-15
Process For Selective Growth Of Films During Ecp Plating
App 20090215264 - Yu; Jick M. ;   et al.
2009-08-27
Atomic Layer Deposition Of Tantalum-containing Materials Using The Tantalum Precursor Taimata
App 20090202710 - Marcadal; Christophe ;   et al.
2009-08-13
Sequential Deposition Of Tantalum Nitride Using A Tantalum-containing Precursor And A Nitrogen-containing Precursor
App 20090197406 - Cao; Wei ;   et al.
2009-08-06
Method And Apparatus For Generating A Precursor For A Semiconductor Processing System
App 20090151633 - Chen; Ling ;   et al.
2009-06-18
Method For Forming Tungsten Materials During Vapor Deposition Processes
App 20090156004 - KORI; MORIS ;   et al.
2009-06-18
Chalcogenide Target And Method
App 20090107834 - Ye; Mengqi ;   et al.
2009-04-30
Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
Grant 7,524,762 - Marcadal , et al. April 28, 2
2009-04-28
Method and apparatus for generating a precursor for a semiconductor processing system
Grant 7,524,374 - Chen , et al. April 28, 2
2009-04-28
Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
Grant 7,514,358 - Cao , et al. April 7, 2
2009-04-07
Selective Ruthenium Deposition On Copper Materials
App 20090087982 - WANG; RONGJUN ;   et al.
2009-04-02
Apparatus for integration of barrier layer and seed layer
Grant 7,494,908 - Chung , et al. February 24, 2
2009-02-24
Method for forming tungsten materials during vapor deposition processes
Grant 7,465,666 - Kori , et al. December 16, 2
2008-12-16
Methods For Depositing Tungsten Layers Employing Atomic Layer Deposition Techniques
App 20080280438 - Lai; Ken Kaung ;   et al.
2008-11-13
Apparatus And Method For Hybrid Chemical Processing
App 20080274299 - CHEN; LING ;   et al.
2008-11-06
Process For Forming Cobalt And Cobalt Silicide Materials In Copper Contact Applications
App 20080268635 - Yu; Sang-Ho ;   et al.
2008-10-30
Oxidized Barrier Layer
App 20080237029 - TANG; Xianmin ;   et al.
2008-10-02
Formation Of Composite Tungsten Films
App 20080227291 - LAI; KEN K. ;   et al.
2008-09-18
Chemical Precursor Ampoule For Vapor Deposition Processes
App 20080216743 - CHEN; LING ;   et al.
2008-09-11
Processing chamber configured for uniform gas flow
Grant 7,422,637 - Ku , et al. September 9, 2
2008-09-09
Resputtered Copper Seed Layer
App 20080190760 - TANG; XIANMIN ;   et al.
2008-08-14
Methods for depositing tungsten layers employing atomic layer deposition techniques
Grant 7,405,158 - Lai , et al. July 29, 2
2008-07-29
Apparatus and method for hybrid chemical processing
Grant 7,402,210 - Chen , et al. July 22, 2
2008-07-22
Formation of composite tungsten films
Grant 7,384,867 - Lai , et al. June 10, 2
2008-06-10
Sputtering Chamber Having Auxiliary Backside Magnet to Improve Etch Uniformity and Magnetron Producing Sustained Self Sputtering of Ruthenium and Tantalum
App 20080083610 - Tang; Xianmin ;   et al.
2008-04-10
Integration of barrier layer and seed layer
Grant 7,352,048 - Chung , et al. April 1, 2
2008-04-01
Gas Delivery Apparatus For Atomic Layer Deposition
App 20080041313 - CHEN; LING ;   et al.
2008-02-21
Atomic Layer Deposition Process
App 20080038463 - Chen; Ling ;   et al.
2008-02-14
Atomic Layer Deposition Of Tantalum-containing Materials Using The Tantalum Precursor Taimata
App 20080032041 - Marcadal; Christophe ;   et al.
2008-02-07
Apparatus For Integration Of Barrier Layer And Seed Layer
App 20070283886 - CHUNG; HUA ;   et al.
2007-12-13
Method For Forming Tungsten Materials During Vapor Deposition Processes
App 20070254481 - KORI; MORIS ;   et al.
2007-11-01
Method and apparatus of generating PDMAT precursor
Grant 7,270,709 - Chen , et al. September 18, 2
2007-09-18
Reduction of copper dewetting by transition metal deposition
Grant 7,265,048 - Chung , et al. September 4, 2
2007-09-04
Atomic Layer Deposition Of Barrier Materials
App 20070190780 - CHUNG; HUA ;   et al.
2007-08-16
Integration of ALD/CVD barriers with porous low k materials
Grant 7,244,683 - Chung , et al. July 17, 2
2007-07-17
Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
Grant 7,241,686 - Marcadal , et al. July 10, 2
2007-07-10
Apparatus And Method For Hybrid Chemical Processing
App 20070151514 - CHEN; LING ;   et al.
2007-07-05
Method for forming tungsten materials during vapor deposition processes
Grant 7,235,486 - Kori , et al. June 26, 2
2007-06-26
Integration Process Of Tungsten Atomic Layer Deposition For Metallization Application
App 20070099415 - Chen; Ling ;   et al.
2007-05-03
Atomic layer deposition of tantalum based barrier materials
Grant 7,211,508 - Chung , et al. May 1, 2
2007-05-01
Apparatus and method for hybrid chemical processing
Grant 7,204,886 - Chen , et al. April 17, 2
2007-04-17
Method and apparatus for generating a precursor for a semiconductor processing system
App 20070067609 - Chen; Ling ;   et al.
2007-03-22
Integrated process for sputter deposition of a conductive barrier layer, especially an alloy of ruthenium and tantalum, underlying copper or copper alloy seed layer
App 20070059502 - Wang; Rongjun ;   et al.
2007-03-15
Processing Chamber Configured For Uniform Gas Flow
App 20070044719 - Ku; Vincent ;   et al.
2007-03-01
Enhanced Copper Growth With Ultrathin Barrier Layer For High Performance Interconnects
App 20070026147 - CHEN; LING ;   et al.
2007-02-01
Enhanced Copper Growth With Ultrathin Barrier Layer For High Performance Interconnects
App 20070003698 - CHEN; LING ;   et al.
2007-01-04
Method For Forming Tungsten Materials During Vapor Deposition Processes
App 20060292874 - Kori; Moris ;   et al.
2006-12-28
Method of direct plating of copper on a ruthenium alloy
App 20060283716 - Hafezi; Hooman ;   et al.
2006-12-21
Apparatus And Method For Generating A Chemical Precursor
App 20060257295 - Chen; Ling ;   et al.
2006-11-16
Conductive barrier layer, especially an alloy of ruthenium and tantalum and sputter deposition thereof
App 20060251872 - Wang; Jenn Yue ;   et al.
2006-11-09
Atomic Layer Deposition Apparatus
App 20060223286 - Chin; Barry L. ;   et al.
2006-10-05
Method and system for controlling the presence of fluorine in refractory metal layers
Grant 7,115,494 - Sinha , et al. October 3, 2
2006-10-03
Cyclical Deposition Of Refractory Metal Silicon Nitride
App 20060216928 - CHUNG; HUA ;   et al.
2006-09-28
Reduction of copper dewetting by transition metal deposition
App 20060199372 - Chung; Hua ;   et al.
2006-09-07
Atomic layer deposition apparatus
Grant 7,085,616 - Chin , et al. August 1, 2
2006-08-01
Cyclical deposition of refractory metal silicon nitride
Grant 7,081,271 - Chung , et al. July 25, 2
2006-07-25
Integration of ALD tantalum nitride for copper metallization
App 20060148253 - Chung; Hua ;   et al.
2006-07-06
Method and system for controlling the presence of fluorine in refractory metal layers
App 20060128132 - Sinha; Ashok ;   et al.
2006-06-15
Integration of ALD tantalum nitride for copper metallization
Grant 7,049,226 - Chung , et al. May 23, 2
2006-05-23
Titanium tantalum nitride silicide layer
Grant 7,041,335 - Chung May 9, 2
2006-05-09
Method and system for controlling the presence of fluorine in refractory metal layers
Grant 7,033,922 - Kori , et al. April 25, 2
2006-04-25
Methods for depositing tungsten layers employing atomic layer deposition techniques
App 20060040052 - Fang; Hongbin ;   et al.
2006-02-23
Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata
App 20060019495 - Marcadal; Christophe ;   et al.
2006-01-26
Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
App 20060019494 - Cao; Wei ;   et al.
2006-01-26
Methods for depositing tungsten layers employing atomic layer deposition techniques
App 20060009034 - Lai; Ken Kaung ;   et al.
2006-01-12
Formation of composite tungsten films
App 20050287807 - Lai, Ken K. ;   et al.
2005-12-29
Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
Grant 6,972,267 - Cao , et al. December 6, 2
2005-12-06
Selective deposition of a barrier layer on a dielectric material
Grant 6,939,801 - Chung , et al. September 6, 2
2005-09-06
Formation of composite tungsten films
Grant 6,939,804 - Lai , et al. September 6, 2
2005-09-06
Method and apparatus of generating PDMAT precursor
App 20050189072 - Chen, Ling ;   et al.
2005-09-01
Integration of barrier layer and seed layer
Grant 6,936,906 - Chung , et al. August 30, 2
2005-08-30
Gas delivery apparatus and method for atomic layer deposition
App 20050173068 - Chen, Ling ;   et al.
2005-08-11
Gas delivery apparatus and method for atomic layer deposition
Grant 6,916,398 - Chen , et al. July 12, 2
2005-07-12
Integration of barrier layer and seed layer
App 20050139948 - Chung, Hua ;   et al.
2005-06-30
Method and apparatus of generating PDMAT precursor
Grant 6,905,541 - Chen , et al. June 14, 2
2005-06-14
Integration of ALD tantalum nitride for copper metallization
App 20050106865 - Chung, Hua ;   et al.
2005-05-19
Method and system for controlling the presence of fluorine in refractory metal layers
App 20050059241 - Kori, Moris ;   et al.
2005-03-17
Method and system for controlling the presence of fluorine in refractory metal layers
Grant 6,855,368 - Kori , et al. February 15, 2
2005-02-15
System and method to form a composite film stack utilizing sequential deposition techniques
Grant 6,849,545 - Mak , et al. February 1, 2
2005-02-01
Atomic layer deposition of barrier materials
App 20050009325 - Chung, Hua ;   et al.
2005-01-13
Method of film deposition using activated precursor gases
Grant 6,838,125 - Chung , et al. January 4, 2
2005-01-04
Integration of ALD/CVD barriers with porous low k materials
App 20040256351 - Chung, Hua ;   et al.
2004-12-23
Method for depositing refractory metal layers employing sequential deposition techniques
App 20040247788 - Fang, Hongbin ;   et al.
2004-12-09
Method for depositing refractory metal layers employing sequential deposition techniques
Grant 6,797,340 - Fang , et al. September 28, 2
2004-09-28
Method and apparatus for monitoring solid precursor delivery
Grant 6,772,072 - Ganguli , et al. August 3, 2
2004-08-03
Apparatus and method for hybrid chemical processing
App 20040144311 - Chen, Ling ;   et al.
2004-07-29
Titanium tantalum nitride silicide layer
App 20040077183 - Chung, Hua
2004-04-22
Processing chamber configured for uniform gas flow
App 20040069227 - Ku, Vincent ;   et al.
2004-04-15
Method of film deposition using activated precursor gases
App 20040018304 - Chung, Hua ;   et al.
2004-01-29
Method and apparatus of generating PDMAT precursor
App 20040014320 - Chen, Ling ;   et al.
2004-01-22
Formation of composite tungsten films
App 20040014315 - Lai, Ken K. ;   et al.
2004-01-22
Formation of titanium nitride films using a cyclical deposition process
App 20040013803 - Chung, Hua ;   et al.
2004-01-22
Method and apparatus for monitoring solid precursor delivery
App 20040015300 - Ganguli, Seshadri ;   et al.
2004-01-22
Lid assembly for a processing system to facilitate sequential deposition techniques
Grant 6,660,126 - Nguyen , et al. December 9, 2
2003-12-09
Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
App 20030224600 - Cao, Wei ;   et al.
2003-12-04
Selective deposition of a barrier layer on a dielectric material
App 20030224578 - Chung, Hua ;   et al.
2003-12-04
Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
App 20030203616 - Chung, Hua ;   et al.
2003-10-30
Gas delivery apparatus for atomic layer deposition
App 20030121608 - Chen, Ling ;   et al.
2003-07-03
Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
App 20030124262 - Chen, Ling ;   et al.
2003-07-03
Cyclical deposition of refractory metal silicon nitride
App 20030108674 - Chung, Hua ;   et al.
2003-06-12
Method for depositing refractory metal layers employing sequential deposition techniques
App 20030104126 - Fang, Hongbin ;   et al.
2003-06-05
Gas delivery apparatus and method for atomic layer deposition
App 20030079686 - Chen, Ling ;   et al.
2003-05-01
Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application
App 20030082307 - Chung, Hua ;   et al.
2003-05-01
Enhanced copper growth with ultrathin barrier layer for high performance interconnects
App 20030082301 - Chen, Ling ;   et al.
2003-05-01
Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
Grant 6,551,929 - Kori , et al. April 22, 2
2003-04-22
Integration of barrier layer and seed layer
App 20030057526 - Chung, Hua ;   et al.
2003-03-27
Integration of barrier layer and seed layer
App 20030057527 - Chung, Hua ;   et al.
2003-03-27
Integration of barrier layer and seed layer
App 20030059538 - Chung, Hua ;   et al.
2003-03-27
Atomic layer deposition apparatus
App 20030023338 - Chin, Barry L. ;   et al.
2003-01-30
System and method to form a composite film stack utilizing sequential deposition techniques
App 20020197863 - Mak, Alfred W. ;   et al.
2002-12-26
Lid assembly for a processing system to facilitate sequential deposition techniques
App 20020121342 - Nguyen, Anh N. ;   et al.
2002-09-05
Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
App 20020121241 - Nguyen, Anh N. ;   et al.
2002-09-05

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed