Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor

Cao , et al. April 7, 2

Patent Grant 7514358

U.S. patent number 7,514,358 [Application Number 11/231,386] was granted by the patent office on 2009-04-07 for sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor. This patent grant is currently assigned to Applied Materials, Inc.. Invention is credited to Wei Cao, Ling Chen, Hua Chung, Vincent Ku.


United States Patent 7,514,358
Cao ,   et al. April 7, 2009
**Please see images for: ( Certificate of Correction ) **

Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor

Abstract

Embodiments of the invention provide a method for forming tantalum nitride materials on a substrate by employing an atomic layer deposition (ALD) process. The method includes heating a tantalum precursor within an ampoule to a predetermined temperature to form a tantalum precursor gas and sequentially exposing a substrate to the tantalum precursor gas and a nitrogen precursor to form a tantalum nitride material. Thereafter, a nucleation layer and a bulk layer may be deposited on the substrate. In one example, a radical nitrogen compound may be formed from the nitrogen precursor during a plasma-enhanced ALD process. A nitrogen precursor may include nitrogen or ammonia. In another example, a metal-organic tantalum precursor may be used during the deposition process.


Inventors: Cao; Wei (Milpitas, CA), Chung; Hua (San Jose, CA), Ku; Vincent (Palo Alto, CA), Chen; Ling (Sunnyvale, CA)
Assignee: Applied Materials, Inc. (Santa Clara, CA)
Family ID: 29586722
Appl. No.: 11/231,386
Filed: September 21, 2005

Prior Publication Data

Document Identifier Publication Date
US 20060019494 A1 Jan 26, 2006

Related U.S. Patent Documents

Application Number Filing Date Patent Number Issue Date
10379438 Mar 4, 2003 6972267
60362189 Mar 4, 2002

Current U.S. Class: 438/643; 257/E21.168; 257/E21.17; 438/627; 438/785
Current CPC Class: C23C 16/045 (20130101); C23C 16/34 (20130101); C23C 16/4408 (20130101); C23C 16/45557 (20130101); H01L 21/28562 (20130101)
Current International Class: H01L 21/4763 (20060101); H01L 21/31 (20060101); H01L 21/469 (20060101)
Field of Search: ;438/643,627,785 ;257/E21.168,E21.17

References Cited [Referenced By]

U.S. Patent Documents
3594216 July 1971 Charles et al.
4058430 November 1977 Suntola et al.
4389973 June 1983 Suntola et al.
4413022 November 1983 Suntola et al.
4415275 November 1983 Dietrich
4761269 August 1988 Conger et al.
4825809 May 1989 Mieno
4834831 May 1989 Nishizawa et al.
4947790 August 1990 Gartner et al.
4975252 December 1990 Nishizawa et al.
4993357 February 1991 Scholz
5027746 July 1991 Frijlink et al.
5173327 December 1992 Sandhu et al.
5178681 January 1993 Moore et al.
5196365 March 1993 Gotou
5224202 June 1993 Arnold et al.
5225366 July 1993 Yoder
5261959 November 1993 Gasworth
5281274 January 1994 Yoder
5294286 March 1994 Nishizawa et al.
5338362 August 1994 Imahashi
5374570 December 1994 Nasu et al.
5441703 August 1995 Jurgensen
5443647 August 1995 Aucoin et al.
5464666 November 1995 Fine et al.
5480818 January 1996 Matsumoto et al.
5483919 January 1996 Yokoyama et al.
5503875 April 1996 Imai et al.
5558717 September 1996 Zhao et al.
5580421 December 1996 Hiatt et al.
5668054 September 1997 Sun et al.
5674786 October 1997 Turner et al.
5711811 January 1998 Suntola et al.
5730802 March 1998 Ishizumi et al.
5796116 August 1998 Nakata et al.
5807792 September 1998 Ilg et al.
5834372 November 1998 Lee
5835677 November 1998 Li et al.
5846332 December 1998 Zhao et al.
5855680 January 1999 Soininen et al.
5879459 March 1999 Gadgil et al.
5882411 March 1999 Zhao et al.
5906683 May 1999 Chen et al.
5916365 June 1999 Sherman
5923056 July 1999 Lee et al.
5972430 October 1999 DiMeo, Jr. et al.
5989345 November 1999 Hatano
6015590 January 2000 Suntola et al.
6015917 January 2000 Bhandari et al.
6042652 March 2000 Hyun et al.
6071572 June 2000 Mosely et al.
6079356 June 2000 Umotoy et al.
6084302 July 2000 Sandhu
6099904 August 2000 Mak et al.
6124158 September 2000 Dautartas et al.
6139700 October 2000 Kang et al.
6143082 November 2000 McInerney et al.
6144060 November 2000 Park et al.
6156382 December 2000 Rajagopalan et al.
6162715 December 2000 Mak et al.
6174377 January 2001 Doering et al.
6174809 January 2001 Kang et al.
6183563 February 2001 Choi et al.
6197683 March 2001 Kang et al.
6200893 March 2001 Sneh
6203613 March 2001 Gates et al.
6206967 March 2001 Mak et al.
6207487 March 2001 Kim et al.
6231672 May 2001 Choi et al.
6251190 June 2001 Mak et al.
6268288 July 2001 Hautala et al.
6270572 August 2001 Kim et al.
6270839 August 2001 Onoe et al.
6284646 September 2001 Leem
6287965 September 2001 Kang et al.
6296711 October 2001 Loan et al.
6302965 October 2001 Umotoy et al.
6305314 October 2001 Sneh et al.
6306216 October 2001 Kim et al.
6309713 October 2001 Mak et al.
6342277 January 2002 Sherman
6348376 February 2002 Lim et al.
6358829 March 2002 Yoon et al.
6372598 April 2002 Kang et al.
6379748 April 2002 Bhandari et al.
6391785 May 2002 Satta et al.
6399491 June 2002 Jeon et al.
6413381 July 2002 Lee et al.
6416577 July 2002 Suntoloa et al.
6416822 July 2002 Chiang et al.
6428859 August 2002 Chiang et al.
6433314 August 2002 Mandrekar et al.
6447607 September 2002 Soininen et al.
6451119 September 2002 Sneh et al.
6451695 September 2002 Sneh
6452338 September 2002 Horsky
6454860 September 2002 Metzner et al.
6464779 October 2002 Powell et al.
6468924 October 2002 Lee et al.
6475276 November 2002 Elers et al.
6475910 November 2002 Sneh
6478872 November 2002 Chae et al.
6481945 November 2002 Hasper et al.
6482262 November 2002 Elers et al.
6482733 November 2002 Raaijmakers
6482740 November 2002 Soininen et al.
6498091 December 2002 Chen et al.
6511539 January 2003 Raaijmakers
6520218 February 2003 Gregg et al.
6524952 February 2003 Srinivas et al.
6534395 March 2003 Werkhoven et al.
6548112 April 2003 Hillman et al.
6551406 April 2003 Kilpi
6551929 April 2003 Kori et al.
6558509 May 2003 Kraus et al.
6561498 May 2003 Tompkins et al.
6569501 May 2003 Chiang et al.
6572705 June 2003 Suntola et al.
6578287 June 2003 Aswad
6579372 June 2003 Park
6585823 July 2003 Van Wijck
6593484 July 2003 Yasuhara et al.
6596602 July 2003 Iizuka et al.
6599572 July 2003 Saanila et al.
6607976 August 2003 Chen et al.
6620723 September 2003 Byun et al.
6620956 September 2003 Chen et al.
6630030 October 2003 Suntola et al.
6630201 October 2003 Chiang et al.
6632279 October 2003 Ritala et al.
6660126 December 2003 Nguyen et al.
6660622 December 2003 Chen et al.
6686271 February 2004 Raaijmakers et al.
6716287 April 2004 Santiago et al.
6718126 April 2004 Lei
6720027 April 2004 Yang et al.
6734020 May 2004 Lu et al.
6740585 May 2004 Yoon et al.
6772072 August 2004 Ganguli et al.
6773507 August 2004 Jallepally et al.
6777352 August 2004 Tepman et al.
6778762 August 2004 Shareef et al.
6784096 August 2004 Chen et al.
6790773 September 2004 Drewery et al.
6797108 September 2004 Wendling
6800173 October 2004 Chiang et al.
6803272 October 2004 Halliyal et al.
6811814 November 2004 Chen et al.
6815285 November 2004 Choi et al.
6818094 November 2004 Yudovsky
6821563 November 2004 Yudovsky
6821891 November 2004 Chen et al.
6827815 December 2004 Hytros et al.
6831004 December 2004 Byun et al.
6838125 January 2005 Chung et al.
6841200 January 2005 Kraus et al.
6846516 January 2005 Yang et al.
6866746 March 2005 Lei et al.
6868859 March 2005 Yudovsky
6875271 April 2005 Glenn et al.
6878206 April 2005 Tzu et al.
6881437 April 2005 Ivanov et al.
6893915 May 2005 Park et al.
6902624 June 2005 Seidel et al.
6905541 June 2005 Chen et al.
6905737 June 2005 Verplancken et al.
6911093 June 2005 Stacey et al.
6915592 July 2005 Guenther
6916398 July 2005 Chen et al.
6921062 July 2005 Gregg et al.
6932871 August 2005 Chang et al.
6936906 August 2005 Chung et al.
6939801 September 2005 Chung et al.
6946033 September 2005 Tsuei et al.
6951804 October 2005 Seutter et al.
6953742 October 2005 Chen et al.
6955211 October 2005 Ku et al.
6972267 December 2005 Cao et al.
6974771 December 2005 Chen et al.
6983892 January 2006 Noorbakhsh et al.
6994319 February 2006 Yudovsky
6998014 February 2006 Chen et al.
7026238 April 2006 Xi et al.
7041335 May 2006 Chung
7049226 May 2006 Chung et al.
7066194 June 2006 Ku et al.
7067422 June 2006 Nakamura et al.
7081271 July 2006 Chung et al.
7081409 July 2006 Kang et al.
7085616 August 2006 Chin et al.
7094680 August 2006 Seutter et al.
7098131 August 2006 Kang et al.
7175713 February 2007 Thakur et al.
7186385 March 2007 Ganguli et al.
7201803 April 2007 Lu et al.
7204886 April 2007 Chen et al.
7208413 April 2007 Byun et al.
7211508 May 2007 Chung et al.
7222636 May 2007 Nguyen et al.
7228873 June 2007 Ku et al.
7270709 September 2007 Chen et al.
7294208 November 2007 Guenther
2001/0000866 May 2001 Sneh et al.
2001/0002280 May 2001 Sneh
2001/0009140 July 2001 Bondestam et al.
2001/0009695 July 2001 Saanila et al.
2001/0011526 August 2001 Doering et al.
2001/0013312 August 2001 Soininen et al.
2001/0014371 August 2001 Kilpi
2001/0024387 September 2001 Raaijmakers et al.
2001/0025979 October 2001 Kim et al.
2001/0028924 October 2001 Sherman
2001/0034123 October 2001 Jeon et al.
2001/0041250 November 2001 Werkhoven et al.
2001/0042523 November 2001 Kesala
2001/0042799 November 2001 Kim et al.
2001/0054377 December 2001 Lindfors et al.
2001/0054730 December 2001 Kim et al.
2001/0054769 December 2001 Raaijmakers et al.
2002/0000196 January 2002 Park
2002/0000598 January 2002 Kang et al.
2002/0005168 January 2002 Kraus et al.
2002/0007790 January 2002 Park
2002/0009544 January 2002 McFeely et al.
2002/0009896 January 2002 Sandhu et al.
2002/0017242 February 2002 Hamaguchi et al.
2002/0020869 February 2002 Park et al.
2002/0021544 February 2002 Cho et al.
2002/0031618 March 2002 Sherman
2002/0041931 April 2002 Suntola et al.
2002/0048635 April 2002 Kim et al.
2002/0052097 May 2002 Park
2002/0060363 May 2002 Xi et al.
2002/0066411 June 2002 Chiang et al.
2002/0068458 June 2002 Chiang et al.
2002/0073924 June 2002 Chiang et al.
2002/0076481 June 2002 Chiang et al.
2002/0076507 June 2002 Chiang et al.
2002/0076508 June 2002 Chiang et al.
2002/0076837 June 2002 Hujanen et al.
2002/0086106 July 2002 Park et al.
2002/0086111 July 2002 Byun et al.
2002/0086507 July 2002 Park et al.
2002/0092471 July 2002 Kang et al.
2002/0092584 July 2002 Soininen et al.
2002/0094689 July 2002 Park
2002/0098627 July 2002 Pomarede et al.
2002/0098685 July 2002 Sophie et al.
2002/0104481 August 2002 Chiang et al.
2002/0106536 August 2002 Lee et al.
2002/0106846 August 2002 Seutter et al.
2002/0108570 August 2002 Lindfors et al.
2002/0110991 August 2002 Li
2002/0115886 August 2002 Yasuhara et al.
2002/0117399 August 2002 Chen et al.
2002/0121241 September 2002 Nguyen et al.
2002/0121342 September 2002 Lu et al.
2002/0122884 September 2002 Chen et al.
2002/0127336 September 2002 Chen et al.
2002/0127745 September 2002 Lu et al.
2002/0134307 September 2002 Choi
2002/0144655 October 2002 Chiang et al.
2002/0144657 October 2002 Chiang et al.
2002/0145210 October 2002 Tompkins et al.
2002/0146511 October 2002 Chiang et al.
2002/0155722 October 2002 Satta et al.
2002/0162506 November 2002 Sneh et al.
2002/0164421 November 2002 Chiang et al.
2002/0164423 November 2002 Chiang et al.
2002/0177282 November 2002 Song
2002/0182320 December 2002 Leskela et al.
2002/0187256 December 2002 Elers et al.
2002/0187631 December 2002 Kim et al.
2002/0197402 December 2002 Chiang et al.
2003/0004723 January 2003 Chihara
2003/0010451 January 2003 Tzu et al.
2003/0013320 January 2003 Kim et al.
2003/0017697 January 2003 Choi et al.
2003/0022338 January 2003 Ruben et al.
2003/0022487 January 2003 Yoon et al.
2003/0029715 February 2003 Yu et al.
2003/0031807 February 2003 Elers et al.
2003/0032281 February 2003 Werkhoven et al.
2003/0038369 February 2003 Layadi et al.
2003/0042630 March 2003 Babcoke et al.
2003/0049931 March 2003 Byun et al.
2003/0049942 March 2003 Haukka et al.
2003/0053799 March 2003 Lei
2003/0054631 March 2003 Raaljmakers et al.
2003/0057526 March 2003 Chung et al.
2003/0057527 March 2003 Chung et al.
2003/0059538 March 2003 Chung et al.
2003/0072913 April 2003 Chou et al.
2003/0072975 April 2003 Shero et al.
2003/0075273 April 2003 Kilpela et al.
2003/0075925 April 2003 Lindfors et al.
2003/0079686 May 2003 Chen et al.
2003/0082296 May 2003 Elers et al.
2003/0082301 May 2003 Chen et al.
2003/0082307 May 2003 Chung et al.
2003/0087520 May 2003 Chen et al.
2003/0089308 May 2003 Raaijmakers
2003/0096468 May 2003 Soininen et al.
2003/0097013 May 2003 Chen et al.
2003/0101927 June 2003 Raaijmakers
2003/0101938 June 2003 Ronsse et al.
2003/0106490 June 2003 Jallepally et al.
2003/0108674 June 2003 Chung et al.
2003/0113187 June 2003 Lei et al.
2003/0116087 June 2003 Nguyen et al.
2003/0121469 July 2003 Lindfors et al.
2003/0121608 July 2003 Chen et al.
2003/0124262 July 2003 Chen et al.
2003/0129308 July 2003 Chen et al.
2003/0129826 July 2003 Werkhoven et al.
2003/0134508 July 2003 Raaijmakers et al.
2003/0140854 July 2003 Kilpi
2003/0143328 July 2003 Chen et al.
2003/0143747 July 2003 Bondestam et al.
2003/0143839 July 2003 Raaijmakers et al.
2003/0153177 August 2003 Tepman et al.
2003/0167612 September 2003 Kraus et al.
2003/0168750 September 2003 Basceri et al.
2003/0172872 September 2003 Thakur et al.
2003/0173586 September 2003 Moriwaki et al.
2003/0186495 October 2003 Saanila et al.
2003/0190423 October 2003 Yang et al.
2003/0190804 October 2003 Glenn et al.
2003/0194493 October 2003 Chang et al.
2003/0198740 October 2003 Wendling
2003/0198754 October 2003 Xi et al.
2003/0205729 November 2003 Basceri et al.
2003/0213560 November 2003 Wang et al.
2003/0213987 November 2003 Basceri et al.
2003/0216981 November 2003 Tillman
2003/0219942 November 2003 Choi et al.
2003/0221780 December 2003 Lei et al.
2003/0224107 December 2003 Lindfors et al.
2003/0224578 December 2003 Chung et al.
2003/0224600 December 2003 Cao et al.
2003/0232497 December 2003 Xi et al.
2003/0235961 December 2003 Metzner et al.
2004/0005749 January 2004 Choi et al.
2004/0009307 January 2004 Koh et al.
2004/0009665 January 2004 Chen et al.
2004/0011404 January 2004 Ku et al.
2004/0011504 January 2004 Ku et al.
2004/0013577 January 2004 Ganguli et al.
2004/0014320 January 2004 Chen et al.
2004/0015300 January 2004 Ganguli et al.
2004/0016404 January 2004 Gregg et al.
2004/0016866 January 2004 Huang et al.
2004/0018304 January 2004 Chung et al.
2004/0018747 January 2004 Byun et al.
2004/0025370 February 2004 Guenther et al.
2004/0033698 February 2004 Lee et al.
2004/0046197 March 2004 Basceri et al.
2004/0048461 March 2004 Chen et al.
2004/0065255 April 2004 Yang et al.
2004/0067641 April 2004 Yudovsky
2004/0069227 April 2004 Ku et al.
2004/0071897 April 2004 Verplancken et al.
2004/0077183 April 2004 Chung
2004/0078723 April 2004 Gross et al.
2004/0105934 June 2004 Chang et al.
2004/0143370 July 2004 Lu et al.
2004/0144308 July 2004 Yudovsky
2004/0144309 July 2004 Yudovsky
2004/0144311 July 2004 Chen et al.
2004/0170403 September 2004 Lei
2004/0187304 September 2004 Chen et al.
2004/0203254 October 2004 Conley et al.
2004/0209460 October 2004 Xi et al.
2004/0211665 October 2004 Yoon et al.
2004/0219784 November 2004 Kang et al.
2004/0224506 November 2004 Choi et al.
2004/0235285 November 2004 Kang et al.
2004/0241321 December 2004 Ganguli et al.
2004/0253375 December 2004 Ivanov et al.
2004/0256351 December 2004 Chung et al.
2004/0266175 December 2004 Chen et al.
2005/0006799 January 2005 Gregg et al.
2005/0009325 January 2005 Chung et al.
2005/0059240 March 2005 Choi et al.
2005/0064207 March 2005 Senzaki et al.
2005/0070126 March 2005 Senzaki
2005/0074968 April 2005 Chen et al.
2005/0095859 May 2005 Chen et al.
2005/0104142 May 2005 Narayanan et al.
2005/0106865 May 2005 Chung et al.
2005/0115675 June 2005 Tzu et al.
2005/0118804 June 2005 Byun et al.
2005/0139160 June 2005 Lei et al.
2005/0139948 June 2005 Chung et al.
2005/0153571 July 2005 Senzaki
2005/0164487 July 2005 Seutter et al.
2005/0173068 August 2005 Chen et al.
2005/0189072 September 2005 Chen et al.
2005/0220998 October 2005 Chang et al.
2005/0229969 October 2005 Nguyen et al.
2005/0233156 October 2005 Senzaki et al.
2005/0252449 November 2005 Nguyen et al.
2005/0255243 November 2005 Senzaki
2005/0255690 November 2005 Chen et al.
2005/0257735 November 2005 Guenther
2005/0260357 November 2005 Olsen et al.
2005/0266682 December 2005 Chen et al.
2005/0271812 December 2005 Myo et al.
2005/0271813 December 2005 Kher et al.
2005/0271814 December 2005 Chang et al.
2006/0018639 January 2006 Ramamurthy et al.
2006/0019033 January 2006 Muthukrishnan et al.
2006/0019494 January 2006 Cao et al.
2006/0019495 January 2006 Marcadal et al.
2006/0030148 February 2006 Seutter et al.
2006/0035025 February 2006 Verplancken et al.
2006/0057843 March 2006 Chen et al.
2006/0062917 March 2006 Muthukrishnan et al.
2006/0075966 April 2006 Chen et al.
2006/0128150 June 2006 Gandikota et al.
2006/0148253 July 2006 Chung et al.
2006/0153973 July 2006 Chang et al.
2006/0153995 July 2006 Narwankar et al.
2006/0156979 July 2006 Thakur et al.
2006/0199372 September 2006 Chung et al.
2006/0213557 September 2006 Ku et al.
2006/0213558 September 2006 Ku et al.
2006/0216928 September 2006 Chung et al.
2006/0223286 October 2006 Chin et al.
2006/0257295 November 2006 Chen et al.
2006/0276020 December 2006 Yoon et al.
2007/0006398 January 2007 Hercouet
2007/0018244 January 2007 Hung et al.
2007/0020890 January 2007 Thakur et al.
2007/0026147 February 2007 Chen et al.
2007/0044719 March 2007 Ku et al.
2007/0067609 March 2007 Chen et al.
2007/0079759 April 2007 Lee et al.
2007/0095285 May 2007 Thakur et al.
2007/0099415 May 2007 Chen et al.
2007/0110898 May 2007 Ganguli et al.
2007/0119370 May 2007 Ma et al.
2007/0119371 May 2007 Ma et al.
2007/0128862 June 2007 Ma et al.
2007/0128863 June 2007 Ma et al.
2007/0128864 June 2007 Ma et al.
2007/0235085 October 2007 Nakashima et al.
2007/0252500 November 2007 Ranish et al.
Foreign Patent Documents
0 497 267 Aug 1992 EP
1 142 894 Oct 2001 EP
1 167 569 Jan 2002 EP
2 355 727 Oct 2000 GB
58-098917 Jun 1983 JP
04-291916 Oct 1992 JP
05-047666 Feb 1993 JP
05-206036 Aug 1993 JP
05-234899 Sep 1993 JP
05-270997 Oct 1993 JP
06-224138 Aug 1994 JP
07-300649 Nov 1995 JP
2000-212752 Aug 2000 JP
2000-319772 Nov 2000 JP
2001-020075 Jan 2001 JP
2001-111000 Apr 2001 JP
2001-172767 Jun 2001 JP
WO 96/17107 Jun 1996 WO
WO 99/01595 Jan 1999 WO
WO 99/29924 Jun 1999 WO
WO 99/65064 Dec 1999 WO
WO 00/16377 Mar 2000 WO
WO 00/54320 Sep 2000 WO
WO 00/79576 Dec 2000 WO
WO 01/15220 Mar 2001 WO
WO 01/17692 Mar 2001 WO
WO 01/27346 Apr 2001 WO
WO 01/27347 Apr 2001 WO
WO 01/29280 Apr 2001 WO
WO 01/29891 Apr 2001 WO
WO 01/29893 Apr 2001 WO
WO 01/36702 May 2001 WO
WO 01/66832 Sep 2001 WO
WO 01/88972 Nov 2001 WO
WO 02/01628 Jan 2002 WO
WO 02/08485 Jan 2002 WO
WO 02/08488 Jan 2002 WO
WO 02/43115 May 2002 WO
WO 02/45167 Jun 2002 WO
WO 02/45871 Jun 2002 WO
WO 02/46489 Jun 2002 WO
WO 02/067319 Aug 2002 WO
WO 03/023835 Mar 2003 WO
WO 03/044242 May 2003 WO
WO 2004/008491 Jan 2004 WO
WO 2004/106584 Dec 2004 WO

Other References

Park, J. S.; Park, H. S.; Kang, S. W. "Plasma-Enhanced Atomic Layer Deposition of Ta-N Thin Films" J. Electrochemical Society, vol. 149(2002), pp. C28-32. cited by examiner .
Clark-Phelps, et al. "Engineered Tantalum Aluminate and Hafnium Aluminate ALD Films for Ultrathin Dielectric Films with Improved Electrical and Thermal Properties," Mat. Res. Soc. Symp. Proc. vol. 670 (2001). cited by other .
Eisenbraun, et al. "Atomic Layer Deposition (ALD) of Tantalum-based materials for zero thickness copper barrier applications," Proceedings of the IEEE 2001 International Interconnect Technology Conference (Cat. No. 01EX461) 2001. cited by other .
Goswami, et al. Transition Metals Show Promise as Copper Barriers, Semiconductor International, ATMI, San Jose--May 1, 2004. cited by other .
Hong, et al. "Characteristics of PAALD-TaN thin films derived from TAIMATA precursor for copper metallization", Interconnect Technology Conference, 2004. Proceedings of the IEEE 2004 International, Jun. 7-9, 2004, pp. 9-11. cited by other .
Juppo, et al. "Deposition of Copper Films by an Alternate Supply of CuCl and Zn," Journal of Vacuum Science & Technology, vol. 15, No. 4 (Jul. 1997), pp. 2330-2333. cited by other .
Kukli, et al. "Atomic Layer Epitaxy Growth of Tantalum Oxide Thin Films from Ta(OC.sub.2H.sub.5).sub.5 and H.sub.2O," Journal of the Electrochemical Society, vol. 142, No. 5, May 1995; p. 1670-5. cited by other .
Kukli, et al. "In situ Study of Atomic Layer Epitaxy Growth of Tantalum Oxide Thin Films From Ta(OC.sub.2H.sub.5).sub.5 and H.sub.2O," Applied Surface Science, vol. 112, Mar. 1997, p. 236-42. cited by other .
Kukli, et al. "Properties of {Nb.sub.1-xTa.sub.x}.sub.2O.sub.5 Solid Solutions and {Nb.sub.1-xTa.sub.x}.sub.2O.sub.5-ZrO.sub.2 Nanolaminates Grown by Atomic Layer Epitaxy," 1997; p. 785-93. cited by other .
Kukli, et al. "Properties of Ta.sub.2O.sub.5-Based Dielectric Nanolaminates Deposited by Atomic Layer Epitaxy," Journal of the Electrochemical Society, vol. 144, No. 1, Jan. 1997; p. 300-6. cited by other .
Kukli, et al. "Tailoring the Dielectric Properties of HfO.sub.2-Ta.sub.2-O.sub.5 Nanolaminates," Applied Physics Letters, vol. 68, No. 26, Jun. 24, 1996; p. 3737-9. cited by other .
Martensson, et al. "Atomic Layer Epitaxy of Copper Growth and Selectivity in the Cu(II)-2,2,6,6-tetramethyl-3-5heptanedionate H.sub.2 Process," J. Electrochem. Soc., vol. 145, No. 8 (Aug. 1998), pp. 2926-2631. cited by other .
Martensson, et al. "Atomic Layer Epitaxy of Copper on Tantalum," Chem. Vap. Deposition (1997) vol. 3, No. 1. cited by other .
Martensson, et al. "Cu.sub.2 as Copper Source in Atomic Layer Epitaxy," Chemical Vapor Deposition. Proceedings of the Fourteenth International Conference and EUROCVD-11 (1997), pp. 1529-1536. cited by other .
Martensson, et al. "Use of Atomic Layer Epitaxy for Fabrication of Si/TiN/Cu Structures," Journal of Vacuum Science & Technology, vol. 17, No. 5 (Sep. 1999), pp. 2122-2128. cited by other .
McGeachin, "Synthesis and Properties of Some .beta.-diketimines Derived from Acetylacetone, and Their Metal Complexes," Canadian Journal of Chemistry, vol. 46, (Jun. 1968) No. 11. cited by other .
Niinisto, et al. "Synthesis of Oxide Thin Films and Overlayers by Atomic Layer Epitaxy for Advanced Applications," Materials Science and Engineering B41 (1996) 23-29. cited by other .
Park, et al. "Performance improvement of MOSFET with HfO.sub.2-Al.sub.2O.sub.3 laminate gate dielectric and CVD-TaN metal gate deposited by TAIMATA", Electron Devices Meeting, 2003. IEDM '03 Technical Digest. IEEE International Dec. 8-10, 2003, pp. 13.6.1-13.6.4. cited by other .
Ritala, et al. "Chemical Vapor Deposition," Jan. 1999, pp. 6-9. cited by other .
Rossnagel, et al. "Plasma-enhanced Atomic Layer Deposition of Ta and Ti for Interconnect Diffusion Barriers," J. Vac. Sci. Technol. B., vol. 18, No. 4 (Jul. 2000); p. 2016-20. cited by other .
Shenai, et al. "Correlation of vapor pressure equation and film properties with trimethylindium purity for the MOVPE grown III-V compounds," Journal of Crystal Growth 248 (2003) pp. 91-98. cited by other .
Solanki, et al. "Atomic Layer Deposition of Copper Seed Layers," Electrochem. Solid-State Lett., vol. 3, No. 10 (2000), pp. 479-480. cited by other .
Utriainen, et al. "Studies of Metallic Thin Film Growth in an Atomic Layer Epitaxy Reactor Using M(acac).sub.2(M=Ni,Cu, Pt) Precursors," Applied Surface Science, vol. 157, No. 3 (2000), pp. 151-158. cited by other .
"Ta(N.sub.1C.sub.5H.sub.11)[N(CH.sub.3).sub.2] Taimata.RTM. ," http://c1005059.securesites.net/topic/Taimata/Taimata-E.htm, Jun. 13, 2007, pp. 1-3. cited by other.

Primary Examiner: Whitehead, Jr.; Carl
Assistant Examiner: Luke; Daniel
Attorney, Agent or Firm: Patterson & Sheridan, LLP

Parent Case Text



CROSS-REFERENCE TO RELATED APPLICATIONS

This application is a continuation of U.S. Ser. No. 10/379,438, filed on Mar. 4, 2003, now U.S. Pat. No. 6,972,267, which claims benefit of U.S. Ser. No. 60/362,189, filed Mar. 4, 2002, which are both herein incorporated by reference in their entirety
Claims



The invention claimed is:

1. A method for forming a tantalum-containing material on a substrate, comprising: heating a substrate to a deposition temperature within a process chamber; heating an ampoule containing a tantalum precursor to a predetermined temperature within a range from about 50.degree. C. to about 170.degree. C. to form a tantalum precursor gas; flowing the tantalum precursor gas through a conduit and into the process chamber while maintaining the conduit at a temperature within a range from about 50.degree. C. to about 170.degree. C.; and exposing the substrate to at least sequential pulses of the tantalum precursor gas and a nitrogen precursor during an atomic layer deposition process to deposit a tantalum nitride material thereon.

2. The method of claim 1, wherein the predetermined temperature of the ampoule is within a range from about 65.degree. C. to about 150.degree. C.

3. The method of claim 2, wherein the temperature of the conduit is within a range from about 65.degree. C. to about 150.degree. C.

4. The method of claim 1, further comprising depositing a nucleation layer on the tantalum nitride material.

5. The method of claim 4, further comprising depositing a bulk layer on the nucleation layer.

6. The method of claim 5, wherein the bulk layer comprises copper.

7. The method of claim 1, further comprising depositing a bulk layer on the tantalum nitride material.

8. The method of claim 7, wherein the bulk layer comprises copper.

9. The method of claim 1, wherein the nitrogen precursor comprises a radical nitrogen compound.

10. The method of claim 9, wherein the radical nitrogen compound is produced by a plasma during the atomic layer deposition process.

11. The method of claim 1, wherein the nitrogen precursor is selected from the group consisting of nitrogen, ammonia, hydrazine, and azide.

12. The method of claim 10, wherein the radical nitrogen compound is selected from the group consisting of N.sub.3, N.sub.2, N, NH, and NH.sub.2.

13. The method of claim 1, wherein the atomic layer deposition process further comprises a carrier gas of hydrogen.

14. The method of claim 10, wherein the tantalum precursor is a metal-organic precursor.

15. A method for forming a tantalum-containing material on a substrate, comprising: heating a substrate to a deposition temperature within a process chamber; heating an ampoule containing a tantalum precursor to a predetermined temperature within a range from about 50.degree. C. to about 170.degree. C. to form a tantalum precursor gas; flowing the tantalum precursor gas through a conduit and into the process chamber while maintaining the conduit at a temperature within a range from about 50.degree. C. to about 170.degree. C.; exposing the substrate to at least sequential pulses of the tantalum precursor gas and a nitrogen precursor during an atomic layer deposition process to deposit a tantalum nitride material thereon; depositing a nucleation layer on the tantalum nitride material; and depositing a bulk layer on the nucleation layer.

16. The method of claim 15, wherein the predetermined temperature of the ampoule is within a range from about 65.degree. C. to about 150.degree. C.

17. The method of claim 16, wherein the temperature of the conduit is within a range from about 65.degree. C. to about 150.degree. C.

18. The method of claim 15, wherein the nucleation layer comprises copper.

19. The method of claim 15, wherein the bulk layer comprises copper.

20. The method of claim 15, wherein the nitrogen precursor comprises radical nitrogen compound.

21. The method of claim 20, wherein the radical nitrogen compound is produced by a plasma during the atomic layer deposition process.

22. The method of claim 15, wherein the nitrogen precursor is selected from the group consisting of nitrogen, ammonia, hydrazine, and azide.

23. The method of claim 21, wherein the radical nitrogen compound is selected from the group consisting of N.sub.3, N.sub.2, N, NH, and NH.sub.2.

24. The method of claim 15, wherein the atomic layer deposition process further comprises a carrier gas of hydrogen.

25. The method of claim 21, wherein the tantalum precursor is a metal-organic precursor.

26. A method for forming a tantalum-containing material on a substrate, comprising: heating a substrate to a deposition temperature within a process chamber; heating an ampoule containing a tantalum precursor to a predetermined temperature within a range from about 50.degree. C. to about 170.degree. C. to form a tantalum precursor gas; flowing the tantalum precursor gas through a conduit and into the process chamber while maintaining the conduit at a temperature within a range from about 50.degree. C. to about 170.degree. C.; and exposing the substrate to at least sequential pulses of the tantalum precursor gas and a nitrogen precursor during a plasma-enhanced atomic layer deposition process to deposit a tantalum nitride material thereon.

27. The method of claim 26, wherein the predetermined temperature of the ampoule is within a range from about 65.degree. C. to about 150.degree. C.

28. The method of claim 27, wherein the temperature of the conduit is within a range from about 65.degree. C. to about 150.degree. C.

29. The method of claim 26, further comprising depositing a nucleation layer on the tantalum nitride material.

30. The method of claim 29, further comprising depositing a bulk layer on the nucleation layer.

31. The method of claim 30, wherein the bulk layer comprises copper.

32. The method of claim 26, wherein the nitrogen precursor comprises a radical nitrogen compound.

33. The method of claim 26, wherein the nitrogen precursor is selected from the group consisting of nitrogen, ammonia, hydrazine, and azide.

34. The method of claim 32, wherein the radical nitrogen compound is selected from the group consisting of N.sub.3, N.sub.2, N, NH, and NH.sub.2.

35. The method of claim 26, wherein the atomic layer deposition process further comprises a carrier gas of hydrogen.

36. The method of claim 35, wherein the tantalum precursor is a metal-organic precursor.

37. A method for forming a tantalum-containing material on a substrate, comprising: heating a substrate to a deposition temperature within a process chamber; heating an ampoule containing a metal-organic tantalum precursor to a predetermined temperature within a range from about 50.degree. C. to about 170.degree. C. to form a tantalum precursor gas; flowing the tantalum precursor gas through a conduit and into the process chamber while maintaining the conduit at a temperature within a range from about 50.degree. C. to about 170.degree. C.; and exposing the substrate to at least sequential pulses of the tantalum precursor gas and a radical nitrogen compound during a plasma-enhanced atomic layer deposition process to deposit a tantalum nitride material thereon.

38. A method for forming a tantalum-containing material on a substrate comprising: heating a substrate to a deposition temperature within a process chamber; heating an ampoule containing a metal-organic tantalum precursor to a predetermined temperature within a range from about 50.degree. C. to about 170.degree. C. to form a tantalum precursor gas; flowing the tantalum precursor gas through a conduit and into the process chamber while maintaining the conduit at a temperature within a range from about 50.degree. C. to about 170.degree. C.; exposing the substrate to at least sequential pulses of the tantalum precursor gas and a radical nitrogen compound during a plasma-enhanced atomic layer deposition process to deposit a tantalum nitride material thereon; depositing a nucleation layer on the tantalum nitride material; and depositing a bulk layer on the nucleation layer.
Description



BACKGROUND OF THE INVENTION

1. Field of the Invention

This invention relates to semiconductor processing. More particularly, this invention relates to improvements in the process of depositing refractory metal layers on semiconductor substrates using sequential deposition techniques.

2. Description of the Related Art

The semiconductor industry continues to strive for larger production yields while increasing the uniformity of layers deposited on substrates having increasingly larger surface areas. These same factors in combination with new materials also provide higher integration of circuits per unit area on the substrate. As circuit integration increases, the need for greater uniformity and process control regarding layer characteristics rises. Formation of refractory metal layers in multi-level integrated circuits poses many challenges to process control, particularly with respect to contact formation.

Contacts are formed by depositing conductive interconnect material in an opening on the surface of insulating material disposed between two spaced-apart conductive layers. The aspect ratio of such an opening inhibits deposition of conductive interconnect material that demonstrates satisfactory step coverage and gap-fill, employing traditional interconnect material such as aluminum. In addition, the resistance of aluminum has frustrated attempts to increase the operational frequency of integrated circuits.

Attempts have been made to provide interconnect material with lower electrical resistivity than aluminum. This has led to the substitution of copper for aluminum. Copper suffers from diffusion resulting in the formation of undesirable intermetallic alloys that require the use of barrier materials.

Barrier layers formed from sputtered tantalum (Ta) and reactive sputtered tantalum nitride (TaN) have demonstrated properties suitable for use with copper. Exemplary properties include high conductivity, high thermal stability and resistance to diffusion of foreign atoms. However, sputter deposition of tantalum and/or tantalum nitride films is limited to use for features of relatively large sizes, e.g., >0.3 .mu.m and contacts in vias having small aspect ratios.

A CVD process offers an inherent advantage over a PVD process of better conformability, even in small structures 0.25 .mu.m with high aspect ratios. As a result, CVD deposition of tantalum and tantalum nitride with various metal-organic sources has been employed. Examples of metal-organic sources include tertbutylimidotris(diethylamido) tantalum (TBTDET), pentakis(dimethylamido) tantalum (PDMAT) and pentakis(diethylamido) tantalum (PDEAT).

Attempts have been made to use existing CVD-based tantalum deposition techniques in an atomic layer deposition (ALD) mode. Such attempts, however, suffer drawbacks. For example, formation of tantalum films from tantalum pentachloride (TaCl.sub.5) may require as many as three treatment cycles using various radial based chemistries to perform reduction process of the tantalum to form tantalum nitride. Processes using TaCl.sub.5 may suffer from chlorine contamination within the tantalum nitride layer.

There is a need, therefore, for tantalum chemistries that may be employed with fewer reduction steps and shorter cycle times.

SUMMARY OF THE INVENTION

A method for forming a tantalum-containing layer on a substrate disposed in a processing chamber, comprising heating a TBTDET precursor to a predetermined temperature of at least 65.degree. C. to form a tantalum-containing gas, forming a tantalum-containing layer upon the substrate by adsorption of the tantalum-containing gas onto the substrate, reacting a nitrogen-containing process gas with the tantalum-containing layer to produce a layer of tantalum nitride and repeating forming the tantalum-containing layer and reacting the nitrogen-containing process gas with the tantalum-containing layer to form a layer of tantalum nitride of desired thickness, defining a final tantalum nitride layer. In accordance with another embodiment of the present invention an apparatus is disclosed that carries-out the steps of the method.

BRIEF DESCRIPTION OF THE DRAWINGS

So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.

FIG. 1 is a detailed cross-sectional view of a substrate before deposition of a tantalum nitride layer in accordance with one embodiment of the present invention;

FIG. 2 is a detailed cross-sectional view of a substrate shown above in FIG. 1 after deposition of a tantalum nitride (TaN) layer and a copper contact in accordance with one embodiment of the present invention;

FIG. 3 is a schematic view showing deposition of a first molecule onto a substrate during sequential deposition techniques in accordance with one embodiment of the present invention;

FIG. 4 is a schematic view showing deposition of second molecule onto a substrate during sequential deposition techniques in accordance with one embodiment of the present invention;

FIG. 5 is a graphic representation showing the growth rate per cycle of a tantalum nitride layer versus a pre-heating temperature of a TBTDET precursor, in accordance with the present invention;

FIG. 6 is a perspective view of a semiconductor processing system in accordance with the present invention;

FIG. 7 is a detailed view of the processing chambers shown above in FIG. 6;

FIG. 8 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention;

FIG. 9 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention; and

FIG. 10 is flow diagram showing a method of depositing a tantalum nitride layer, in accordance with one embodiment of the present invention.

DETAILED DESCRIPTION

Referring to FIG. 1 an exemplary structure upon which a tantalum nitride layer, discussed more fully below, is formed in accordance with the present invention is shown as a substrate 10. Substrate 10 includes a wafer 12 that may have one or more layers, shown as layer 14, disposed thereon. Wafer 12 may be formed from any material suitable for semiconductor processing, such as silicon, and layer 14 may be formed from any suitable material, including dielectric or conductive materials. For purposes of the present example, layer 14 includes a void 16, exposing a region 18 of wafer 12.

Embodiments of the processes described herein deposit tantalum-containing materials or tantalum nitride on many substrates and surfaces. Substrates on which embodiments of the invention may be useful include, but are not limited to semiconductor wafers, such as crystalline silicon (e.g., Si<100> or Si<111>), silicon oxide, silicon germanium, doped or undoped polysilicon, doped or undoped silicon wafers silicon nitride and patterned or non-patterned wafers. Surfaces include bare silicon wafers, films, layers and materials with dielectric, conductive and barrier properties and include aluminum oxide and polysilicon. Pretreatment of surfaces includes polishing, etching, reduction, oxidation, hydroxylation, annealing or baking the substrate. A substrate can be pretreated to be terminated with a variety of functional groups such as hydroxyls (OH), alkoxy (OR, where R=Me, Et, Pr or Bu), haloxyls (OX, where X=F, Cl, Br or I), halides (F, Cl, Br or I), oxygen radicals, aminos (NH or NH.sub.2) or amidos (NR or NR.sub.2, where R=Me, Et, Pr or Bu).

Referring to FIG. 2, formed adjacent to layer 14 and region 18 is a barrier layer 20 containing a refractory metal compound, such as tantalum. In the present example, barrier layer 20 is formed from tantalum nitride, TaN, by sequentially exposing substrate 10 to processing gases to form layers of differing compounds on substrate 10. Although not required, in this present case monolayers of differing compounds may be formed. Tantalum nitride barrier layer 20 conforms to the profile of void 16 so as to cover region 18 and layer 14. A contact 22 is fabricated in accordance with the present invention by formation of a copper layer 24 adjacent to barrier layer 20, filling void 16. Copper layer 24 may be formed using standard techniques (e.g., ALD, PVD, CVD and/or electroplating) and include seed formation and/or fill.

With this configuration, a contact consisting of tantalum nitride barrier layer 20 and copper layer 24 is formed. Tantalum nitride barrier layer 20 serves as a seed layer to promote the formation of copper layer 24 using, for example, electroplating techniques. Important characteristics that barrier layer 20 should demonstrate include good step coverage and thickness uniformity. To that end, tantalum nitride barrier layer 20 is deposited employing sequential techniques, such as atomic layer deposition.

Referring to FIGS. 2, 3 and 4, one example of forming barrier layer 20 employing sequential deposition techniques includes exposing substrate 10 to a tantalum-containing gas formed from vaporization of a liquid precursor (.sup.tBuN)Ta(NEt.sub.2).sub.3 (TBTDET) to form a tantalum-containing gas that includes TBTDET. It is believed that the initial surface of substrate 10, e.g., the surface of layer 14 and region 18, presents active ligands to the tantalum-containing gas. To that end, substrate 10 is heated within a range from about 250.degree. C. to about 450.degree. C. and placed in a controlled environment that is pressurized within a range from about 1 Torr to about 100 Torr, inclusive. Substrate 10 is exposed to a process gas that includes the tantalum-containing gas and a carrier gas. The carrier gas may be Ar, He, N.sub.2, H.sub.2 or combinations thereof and may be used as a purge gas. This results in a tantalum-containing layer being deposited on substrate 10. It is believed that the tantalum-containing layer has a surface of ligands comprising amido (--NEt.sub.2) and imido (=NtBu), shown generally as "a". The tantalum-containing layer includes bound tantalum complexes with ligands, such that "a"=0-5, often 3 or 4.

The tantalum-containing layer is exposed to another process gas that includes a nitrogen-containing gas and a carrier gas to form the tantalum-containing layer forming a barrier layer 20 of tantalum nitride. In this example, the nitrogen-containing gas is NH.sub.3 gas and either Ar or N.sub.2 is the carrier gas. It is believed that the amido and imido ligands in the exposed surface of the tantalum-containing layer react with the NH.sub.3 process gas to form byproducts that include radicals (e.g., NH.sub.2, NEt.sub.2, N.sup.tBu, HN.sup.tBu or .sup.tBu), butene, amines (e.g., HNEt.sub.2 or H.sub.2N.sup.tBu), (Et.sub.2N).sub.2 and H.sub.2 among others. In this manner, a surface comprising a layer of tantalum nitride molecules is formed upon substrate 10.

Although not required, the tantalum nitride layer may be a monolayer of tantalum nitride molecules. In some embodiments, the process proceeds cycle after cycle, until tantalum nitride barrier layer 20 has a desired thickness achieved, with each cycle having a duration from about 0.01 seconds to about 60 seconds, preferably from about 0.1 seconds to about 10 seconds, depending upon the processing system employed. The tantalum nitride barrier layer 20 generally has a thickness in the range from about 10 .ANG. to about 1,000 .ANG..

An important precursor characteristic is to have a favorable vapor pressure. Precursors may be a plasma, gas, liquid or solid at ambient temperature and pressure. However, within the ALD chamber, precursors are volatilized. Organometallic compounds or complexes that may be heated prior to delivery include any chemical containing a metal and at least one organic group, such as alkyls, alkoxyls, alkylamidos and anilides. Precursors comprise of organometallic and halide compounds.

Exemplary tantalum precursors that may be heated to form tantalum-containing gases include tantalum compounds containing ligands such as alkylamidos, alkylimidos, cyclopentadienyls, halides, alkyls, alkoxides or combinations thereof. Alkylamido tantalum compounds used as tantalum precursors include (RR'N).sub.5Ta, where R or R' are independently hydrogen, methyl, ethyl, propyl or butyl. Alkylimido tantalum compounds used as tantalum precursors include (RN)(R'R''N).sub.3Ta, where R, R' or R'' are independently hydrogen, methyl, ethyl, propyl or butyl. Specific tantalum precursors include: (Et.sub.2N).sub.5Ta, (Me.sub.2N).sub.5Ta, (EtMeN).sub.5Ta, (Me.sub.5C.sub.5)TaCl.sub.4, (acac)(EtO).sub.4Ta, Br.sub.5Ta, Cl.sub.5Ta, I.sub.5Ta, F.sub.5Ta, (NO.sub.3).sub.5Ta, (.sup.tBuO).sub.5Ta, (.sup.iPrO).sub.5Ta, (EtO).sub.5Ta and (MeO).sub.5Ta.

Exemplary nitrogen precursors utilized in nitrogen-containing gases include: NH.sub.3, N.sub.2, hydrazines (e.g., N.sub.2H.sub.4 or MeN.sub.2H.sub.3), amines (e.g., Me.sub.3N, Me.sub.2NH or MeNH.sub.2), anilines (e.g., C.sub.6H.sub.5NH.sub.2), organic azides (e.g., MeN.sub.3 or Me.sub.3SiN.sub.3), inorganic azides (e.g., NaN.sub.3 or Cp.sub.2CoN.sub.3) and radical nitrogen compounds (e.g., N.sub.3, N.sub.2, N, NH or NH.sub.2). Radical nitrogen compounds can be produced by heat, hot-wires and/or plasma.

Referring to FIGS. 4 and 5, it was discovered that the time required to form tantalum nitride barrier layer 20 may be reduced by heating the TBTDET precursor before formation of the tantalum-containing layer on substrate 10. As shown by curve 30 it was found that by heating the TBTDET precursor in the range from about 65.degree. C. to about 150.degree. C., shown as segment 32, the growth rate of the layers of tantalum nitride per ALD cycle may be maximized. Specifically, point 34 shows the growth rate at about 65.degree. C. being a little less than about 0.9 .ANG. per cycle. Point 36 shows the growth rate at about 90.degree. C. being a little less than about 1.2 .ANG. per cycle, and point 38 shows the growth rate at about 150.degree. C. being approximately 2.0 .ANG. per cycle. A segment 40 of curve 30 shows that for temperatures below about 65.degree. C., the growth rate of tantalum nitride is substantially reduced. A segment 42 of curve 30 shows that for temperatures above about 150.degree. C., the growth rate of tantalum nitride is substantially reduced. Thus, the slope of a segment 32 of curve 30 shows that the growth rate of tantalum nitride barrier layer 20 is greater for temperatures within a range from about 65.degree. C. to about 150.degree. C. compared to other temperatures for the TBTDET precursor.

Referring to FIG. 6, an exemplary wafer processing system employed to deposit a tantalum nitride layer in accordance with the present invention includes one or more processing chambers 44, 45 and 46. Processing chambers 44, 45 and 46 are disposed in a common work area 48 surrounded by a wall 50. Processing chambers 44, 45 and 46 are in data communication with a controller 54 that is connected to one or more monitors, shown as 56 and 58. Monitors 56 and 58 typically display common information concerning the process associated with the processing chambers 44, 45 and 46. Monitor 58 is mounted to the wall 50, with monitor 56 being disposed in the work area 48. Operational control of processing chambers 44, 45 and 46 may be achieved with use of a light pen, associated with one of monitors 56 and 58, to communicate with controller 54. For example, a light pen 60a is associated with monitor 56 and facilitates communication with the controller 54 through monitor 56. A light pen 60b facilitates communication with controller 54 through monitor 58.

Referring to both FIGS. 6 and 7, each of processing chambers 44, 45 and 46 includes a housing 62 having a base wall 64, a cover 66, disposed opposite to base wall 64, and a sidewall 67, extending there between. Housing 62 defines a chamber 68. A pedestal 69 is disposed within processing chamber 68 to support substrate 10. Pedestal 69 may be mounted to move between cover 66 and base wall 64, using a displacement mechanism (not shown), but is typically fixed proximate to base wall 64. Supplies of processing fluids 70a, 70b, 70c and 71 are in fluid communication with processing chamber 68 via a manifold 72. In the present example supply 70a may contain NH.sub.3, supply 70b may contain N.sub.2 and supply 70c may contain Ar. Process fluid supply 71 includes an ampoule 71a in fluid communication with a vaporizer 71b. Ampoule 71a includes a supply of TBTDET precursor 71c and is in fluid communication with supply 70c. Ampoule 71a is in fluid communication with vaporizer 71b via precursor channel 71d to deliver, to processing chamber 68, precursor 71c, with the aid of carrier gas in supply 70c. Ampoule 71a, liquid 71c and channel 71d may be heated by conventional heating methods, e.g., heating tape in the range from about 65.degree. C. to about 150.degree. C. Regulation of the flow of gases from supplies 70a, 70b, 70c and 71 is effectuated via flow valves 73 that are regulated by computer control, discussed more fully below. Flow valves 73 may be any suitable valve. Actuation rates of flow valves 73 may be in the range of a microsecond to several milliseconds to seconds.

Substrate 10 is heated to processing temperature by a heater embedded within pedestal 69. For example, pedestal 69 may be resistively heated by applying an electric current from an AC power supply 75 to a heater element 76. Substrate 10 is, in turn, heated by pedestal 69, and can be maintained within a desired process temperature range, with the actual temperature varying dependent upon the gases employed and the topography of the surface upon which deposition is to occur. A temperature sensor 78, such as a thermocouple, is also embedded in pedestal 69 to monitor the temperature of pedestal 69 in a conventional manner. For example, the measured temperature may be used in a feedback loop to control the electrical current applied to heater element 76 by power supply 75, such that the wafer temperature can be maintained or controlled at a desired temperature that is suitable for the particular process application. Substrate 10 may be heated using radiant heat, e.g., heat lamps or plasma (not shown). A vacuum pump 80 is used to evacuate processing chamber 68 and to help maintain the proper gas flows and pressure inside processing chamber 68.

Referring to FIGS. 7 and 8, a method in accordance with one embodiment of the present invention includes heating substrate 10 to a processing temperature within a range from about 250.degree. C. to about 450.degree. C. at step 100. At step 102 processing chamber 68 is pressurized within a range from about 1 Torr to about 100 Torr. This is achieved by activating vacuum pump 80 to evacuate processing chamber 68. At step 104, the TBTDET precursor is heated in ampoule 71a within a range from about 65.degree. C. to about 150.degree. C. This forms a tantalum-containing gas that includes TBTDET. At step 106 a purge gas, such as argon, Ar, is flowed into processing chamber 68 for a sufficient amount of time to purge processing chamber 68. The actual time during which Ar is flowed into processing chamber 68 is dependent upon the system employed.

In the present example, Ar is flowed into processing chamber 68 in a range of from about 5 to about 10 seconds to purge processing chamber 68. At step 108, the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10 that includes TBTDET. To that end, Ar gas from supply 70c is flowed into ampoule 71a at a rate in the range from about 50 sccm to about 2,000 sccm, preferably about 500 sccm. After a sufficient time, which is dependent upon the process system employed, the flow of tantalum-containing gas is terminated, at step 110. In the present example, the flow of tantalum-containing gas is terminated after about 5 seconds to about 25 seconds after the flow commenced. The flow of Ar gas may terminate with the flow of tantalum-containing gas. Alternatively, the flow of Ar gas may continue for a sufficient amount of time, depending upon the processing system employed, to ensure removal from processing chamber 68 of tantalum-containing gas and reaction byproducts, at step 110.

In the present example the time that the flow of Ar gas continues is in the range from about 5 seconds to about 10 seconds. Subsequently at step 112, a nitrogen-containing gas, such as NH.sub.3 gas, is pulsed into processing chamber 68, along with the purge gas for a sufficient amount of time to create a reaction between nitrogen, in the NH.sub.3 gas, and the tantalum-containing layer to form a layer of tantalum nitride. The resulting layer of tantalum nitride may be a monolayer of tantalum nitride molecules. To that end, the duration of the pulse of NH.sub.3 gas is dependent upon the processing system employed, but in the present example the flow of NH.sub.3 gas was in the range from about 5 seconds to about 35 seconds. The pulse of the nitrogen-containing gas into processing chamber 68 is subsequently terminated, at step 114. The flow of the purge gas may be terminated along with the flow of the nitrogen-containing gas. Alternatively, the flow of the purge gas may continue at step 114. In this manner, NH.sub.3 gas and byproducts of the reaction of nitrogen with the tantalum-containing layer are removed from processing chamber 68. This completes one cycle of the sequential deposition technique in accordance with the present invention. The aforementioned cycle is repeated multiple times until barrier layer 20 reaches a desired thickness shown in FIG. 2.

It has been found that each cycle results in the formation of a tantalum nitride layer having a thickness within a range from about 0.9 .ANG. to about 1.2 .ANG.. As a result, at step 116, it is determined whether the tantalum nitride layer has reached a desired thickness employing any known means in the art. Were it determined that the tantalum nitride layer had not reached a desired thickness, then the process would proceed to step 108. Were it determined that tantalum nitride layer had reached a desired thickness, then the process would proceed with further processing at step 118. An example of further processing could include formation of a copper layer 24, shown in FIG. 2, employing standard formation techniques, such as electroplating. Further processing includes a seed layer or a nucleation layer deposited via ALD, CVD or PVD techniques.

Referring to both FIGS. 2 and 7, the process for depositing the tantalum and copper layers 20 and 24 may be controlled using a computer program product that is executed by controller 54. To that end, controller 54 includes a central processing unit (CPU) 90, a volatile memory, such as a random access memory (RAM) 92 and permanent storage media, such as a floppy disk drive for use with a floppy diskette, or hard disk drive 94. The computer program code can be written in any conventional computer readable programming language; for example, 68000 assembly language, C, C++, Pascal, Fortran, and the like. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and stored or embodied in a computer-readable medium, such as the hard disk drive 94. If the entered code text is in a high level language, the code is compiled and the resultant compiler code is then linked with an object code of precompiled Windows.RTM. library routines. To execute the linked and compiled object code the system user invokes the object code, causing CPU 90 to load the code in RAM 92. CPU 90 then reads and executes the code to perform the tasks identified in the program.

Referring to FIGS. 7 and 9, a method in accordance with an alternate embodiment overcomes difficulty in having vacuum pump 80 establish the processing pressure during the differing processing steps of the sequential deposition process. Specifically, it was found that relying on vacuum pump 80 to establish the processing pressure might increase the time required to form a tantalum nitride layer. This is due, in part, to the time required for vacuum pump 80 to stabilize (settle) in order to evacuate at a constant rate and thus pump down the processing chamber 68 to establish the processing pressure. To avoid the pump stabilization problem, vacuum pump 80 may be set to evacuate processing chamber 68 at a constant rate throughout the sequential deposition process. Thereafter, the processing pressure would be established by the flow rates of the process gases into process chamber 68. To that end, at step 200, substrate 10 is heated to a processing temperature within a range from about 250.degree. C. to about 450.degree. C. At step 202 the pump is activated to evacuate processing chamber 68 at a constant rate. At step 204, the TBTDET precursor is heated in ampoule 71a within a range from 65.degree. C. to about 150.degree. C. This forms a tantalum-containing gas that includes TBTDET. At step 206 a purge gas, such as argon, is flowed into processing chamber 68 for a sufficient time to purge processing chamber 68 and establish a processing pressure. The processing pressure is within a range from about 1 Torr to about 100 Torr. Although the exact time required is dependent upon the processing system employed, in the present example, the Ar is flowed into processing chamber 68 in the range from about 5 seconds to about 10 seconds.

At step 208 the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10. The flow rates of the tantalum-containing gas and the Ar gas is established so as to prevent varying the processing pressure established at step 206. To that end, Ar gas from supply 70c is flowed into ampoule 71a at a rate of approximately 500 sccm. After about 5 seconds to about 25 seconds, the flow of tantalum-containing gas is terminated, with the flow of Ar increased to maintain the processing pressure, at step 210. This continues for a sufficient time to remove tantalum-containing gas and reaction byproducts from processing chamber 68, typically about 5 seconds to about 10 seconds. Subsequently at step 212, a nitrogen-containing gas, such as NH.sub.3 gas, is introduced into processing chamber 68, along with the purge gas for a sufficient amount of time to react nitrogen, contained in the nitrogen-containing gas, with the tantalum-containing layer to form a tantalum nitride layer. The tantalum nitride layer may or may not be a monolayer of tantalum nitride molecules. The time required to achieve the nitrogen reaction depends upon the processing system employed. In the present example, the time is in the range from about 5 seconds to about 35 seconds. The flow rate of the NH.sub.3 gas and the purge gas are established so that the processing pressure established at step 206 is maintained. The flow of the NH.sub.3 process gas into processing chamber 68 is subsequently terminated, while the flow of purge gas is increased at step 214 to maintain a constant processing pressure. In this manner, the nitrogen-containing gas and byproducts of the nitrogen reaction with the tantalum-containing layer are removed from processing chamber 68. This completes one cycle of the sequential deposition technique in accordance with the present invention.

The aforementioned cycle is repeated multiple times until barrier layer 20 reaches a desired thickness shown in FIG. 2. As a result, at step 216, shown in FIG. 9, it is determined whether the tantalum nitride barrier layer has reached a desired thickness employing any known means in the art. Were it determined that tantalum nitride layer had not reached a desired thickness, and then the process would proceed to step 208. Were it determined that tantalum nitride layer had reached a desired thickness, and then the process would proceed with further processing at step 218. Generally, the tantalum nitride barrier layer is grown to a thickness in the range from about 10 .ANG. to about 1,000 .ANG.. An example of further processing could include formation of a copper layer 24, shown in FIG. 2, employing standard formation techniques, such as electroplating.

Referring to FIGS. 7 and 10 in yet another embodiment of the present invention, removal of byproducts and precursors from processing chamber 68 may be achieved by evacuating processing chamber 68 of all gases present after formation of each tantalum-containing layer that is yet to under go a reaction with nitrogen. To that end, substrate 10 is heated to a processing temperature within a range from about 250.degree. C. to about 450.degree. C. at step 300, and the TBTDET precursor is heated in ampoule 71a within a range from about 65.degree. C. to about 150.degree. C. at step 302 to form a tantalum-containing gas that includes TBTDET. At step 304, vacuum pump 80 establishes a processing pressure within a range from about 1 Torr to about 100 Torr. At step 306 a purge gas, such as argon is flowed into processing chamber 68 for a sufficient amount of time to purge processing chamber 68. The time required to purge processing chamber 68 is dependent upon the processing system employed.

In the present example, the time required to purge processing chamber 68 is within a range from about 5 seconds to about 10 seconds. At step 308 the tantalum-containing gas is flowed into processing chamber 68 along with Ar gas to create a tantalum-containing layer on substrate 10. To that end, Ar gas from supply 70c is flowed into ampoule 71a at a rate of approximately 500 sccm. After a sufficient amount of time, the flow of tantalum-containing gas is terminated, while the flow of Ar continues. The amount of time during which the tantalum-containing gas flows is dependent upon the processing system employed.

In the present example the tantalum-containing gas is flowed into processing chamber 68 for approximately 5 seconds to about 25 seconds during step 310. During step 310, the flow of Ar gas into processing chamber 68 continues for a sufficient time to remove the tantalum-containing gas and reaction byproducts from processing chamber 68. The duration for which Ar gas is flowed into processing chamber 68 is dependent upon the processing system employed, but in the present example, is in the range from about 5 seconds to about 25 seconds.

Subsequently, at step 312 the flow of Ar gas is terminated and the processing chamber is evacuated of all gases present. At step 314 processing chamber 68 is brought to the processing pressure and the Ar gas is introduced therein. At step 316, the nitrogen-containing gas is introduced into processing chamber 68, along with the purge gas for a sufficient amount of time to react nitrogen in the nitrogen-containing gas with the tantalum-containing layer to form a layer of tantalum nitride. The time required to achieve the nitrogen reaction is dependent upon the processing system employed.

In the present example, the nitrogen-containing gas is flowed into processing chamber 68 in the range from 5 seconds to about 35 seconds during step 316. The flow of the tantalum-containing process gas into processing chamber 68 is subsequently terminated, while the flow of purge gas continues at step 318. In this manner, the tantalum-containing process gas and byproducts of the nitrogen reaction are removed from processing chamber 68. At step 320, the flow of Ar gas is terminated and the processing chamber is evacuated of all gases present therein at step 312. This completes one cycle of the sequential deposition technique in accordance with the present invention.

The aforementioned cycle is repeated multiple times until layer 14 reaches a desired thickness shown in FIG. 2. As a result, at step 322 it is determined whether the aforementioned tantalum nitride layer has reached a desired thickness employing any known means in the art. Were it determined that tantalum nitride layer had not reached a desired thickness, and then the process would proceed to step 304. Were it determined that tantalum nitride layer had reached a desired thickness, and then the process would proceed with further processing at step 324. An example of further processing could include formation of a copper layer 24, shown in FIG. 2, employing standard formation techniques, such as electroplating.

In some embodiments of the processes, tantalum nitride is formed with stoichiometry that includes TaN.sub.x, were x is in the range from about 0.4 to about 2. Tantalum nitride is often derived with the empirical formulas TaN, Ta.sub.3N.sub.5 Ta.sub.2N or Ta.sub.6N.sub.2.57. Tantalum nitride is deposited as amorphous or crystalline material. In some metal nitrides, slight variations of the stoichiometry can have a large impact on the electrical properties, e.g., Hf.sub.3N.sub.4 is an insulator while HfN is a conductor. Therefore, ALD provides stoichiometric control during the deposition of product compounds. The stoichiometry may be altered by various procedures following the deposition process, such as when Ta.sub.3N.sub.5 is thermally annealed to form TaN. Altering the precursor ratios during deposition also controls stoichiometry.

Many industrial applications exist for the product compounds and materials formed by the various processes of the embodiments described. Within the microelectronics industry, the product compounds may be used as seed layers, diffusion barrier layers, adhesion layers, insulator layers, conducting layers or functionalized surface groups for patterned surfaces (e.g., selective deposition).

Although the invention has been described in terms of specific embodiments, one skilled in the art will recognize that various changes to the reaction conditions, e.g., temperature, pressure, film thickness and the like can be substituted and are meant to be included herein and sequence of gases being deposited. For example, sequential deposition process may have different initial sequence. The initial sequence may include exposing the substrate to the reducing gas before the metal-containing gas is introduced into the processing chamber. In addition, the tantalum nitride layer may be employed for other features of circuits in addition to functioning as a diffusion barrier for contacts. Therefore, the scope of the invention should not be based upon the foregoing description. Rather, the scope of the invention should be determined based upon the claims recited herein, including the full scope of equivalents thereof.

While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

* * * * *

References


uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed