loadpatents
name:-0.38827085494995
name:-0.51565194129944
name:-0.22124600410461
Basker; Veeraraghavan S. Patent Filings

Basker; Veeraraghavan S.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Basker; Veeraraghavan S..The latest application filed is for "gate-all-around devices with isolated and non-isolated epitaxy regions for strain engineering".

Company Profile
158.200.200
  • Basker; Veeraraghavan S. - Schenectady NY
  • Basker; Veeraraghavan S. - Fremont CA
  • Basker; Veeraraghavan S. - San Jose CA
  • Basker; Veeraraghavan S - Schenectady NY
  • - Schenectady NY US
  • - Albany NY US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Gate-all-around Devices With Isolated And Non-isolated Epitaxy Regions For Strain Engineering
App 20220310602 - Greene; Andrew M. ;   et al.
2022-09-29
Co-integration Of Gate-all-around Fet, Finfet And Passive Devices On Bulk Substrate
App 20220310590 - Frougier; Julien ;   et al.
2022-09-29
Formation of trench silicide source or drain contacts without gate damage
Grant 11,443,982 - Greene , et al. September 13, 2
2022-09-13
Replacement Gate Cross-couple For Static Random-access Memory Scaling
App 20220246739 - Xie; Ruilong ;   et al.
2022-08-04
Punch through stopper in bulk finFET device
Grant 11,404,560 - Basker , et al. August 2, 2
2022-08-02
Wraparound Contact To A Buried Power Rail
App 20220223698 - Xie; Ruilong ;   et al.
2022-07-14
Nanosheet transistor device with bottom isolation
Grant 11,387,319 - Xie , et al. July 12, 2
2022-07-12
Selective removal of semiconductor fins
Grant 11,380,589 - Basker , et al. July 5, 2
2022-07-05
Field effect transistor
Grant 11,355,401 - Leobandung , et al. June 7, 2
2022-06-07
Nanosheet semiconductor devices with sigma shaped inner spacer
Grant 11,348,999 - Reznicek , et al. May 31, 2
2022-05-31
Structure to enable titanium contact liner on pFET source/drain regions
Grant 11,349,029 - Basker , et al. May 31, 2
2022-05-31
Wrap Around Contact Process Margin Improvement With Early Contact Cut
App 20220123116 - Xie; Ruilong ;   et al.
2022-04-21
Wrap around contact process margin improvement with early contact cut
Grant 11,227,923 - Xie , et al. January 18, 2
2022-01-18
Structure to enable titanium contact liner on pFET source/drain regions
Grant 11,201,242 - Basker , et al. December 14, 2
2021-12-14
Dual Step Etch-back Inner Spacer Formation
App 20210384296 - Greene; Andrew M. ;   et al.
2021-12-09
Transistor having reduced contact resistance
Grant 11,189,693 - Basker , et al. November 30, 2
2021-11-30
Self-aligned isolation for nanosheet transistor
Grant 11,152,464 - Pranatharthi Haran , et al. October 19, 2
2021-10-19
FinFET devices
Grant 11,145,551 - Basker , et al. October 12, 2
2021-10-12
Dual step etch-back inner spacer formation
Grant 11,139,372 - Greene , et al. October 5, 2
2021-10-05
Interface-less contacts to source/drain regions and gate electrode over active portion of device
Grant 11,139,385 - Wang , et al. October 5, 2
2021-10-05
Self-aligned Isolation For Nanosheet Transistor
App 20210305361 - Pranatharthi Haran; Balasubramanian S. ;   et al.
2021-09-30
Protective Bilayer Inner Spacer For Nanosheet Devices
App 20210305410 - Yao; Yao ;   et al.
2021-09-30
Nanosheet Semiconductor Devices With Sigma Shaped Inner Spacer
App 20210288141 - Reznicek; Alexander ;   et al.
2021-09-16
Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
Grant 11,121,032 - Basker , et al. September 14, 2
2021-09-14
Wrap Around Contact Process Margin Improvement With Early Contact Cut
App 20210265470 - Xie; Ruilong ;   et al.
2021-08-26
Nanosheet device with tall suspension and tight contacted gate poly-pitch
Grant 11,094,803 - Xie , et al. August 17, 2
2021-08-17
Transistor and method of forming same
Grant 11,088,280 - Basker , et al. August 10, 2
2021-08-10
Protective bilayer inner spacer for nanosheet devices
Grant 11,081,568 - Yao , et al. August 3, 2
2021-08-03
Nanosheet Device With Tall Suspension And Tight Contacted Gate Poly-pitch
App 20210234018 - Xie; Ruilong ;   et al.
2021-07-29
Soi FinFET fins with recessed fins and epitaxy in source drain region
Grant 11,069,809 - Reznicek , et al. July 20, 2
2021-07-20
Two-dimensional (2D) self-aligned contact (or via) to enable further device scaling
Grant 11,056,386 - Wang , et al. July 6, 2
2021-07-06
Source and drain EPI protective spacer during single diffusion break formation
Grant 11,056,399 - Yao , et al. July 6, 2
2021-07-06
Electrical fuse and/or resistor structures
Grant 11,043,451 - Basker , et al. June 22, 2
2021-06-22
Composite spacer enabling uniform doping in recessed fin devices
Grant 11,038,041 - Basker , et al. June 15, 2
2021-06-15
Self-aligned wrap-around trench contacts
Grant 11,011,422 - Basker May 18, 2
2021-05-18
Method and structure of metal cut
Grant 11,011,417 - Fan , et al. May 18, 2
2021-05-18
Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
Grant 10,998,230 - Basker , et al. May 4, 2
2021-05-04
Semiconductor device including dual trench epitaxial dual-liner contacts
Grant 10,998,242 - Basker , et al. May 4, 2
2021-05-04
Source/drain contact depth control
Grant 10,991,796 - Hu , et al. April 27, 2
2021-04-27
Replacement metal gate structures
Grant 10,971,601 - Basker , et al. April 6, 2
2021-04-06
Electrical isolation for nanosheet transistor devices
Grant 10,957,761 - Yeh , et al. March 23, 2
2021-03-23
Nanosheet Transistor Device With Bottom Isolation
App 20210074809 - Xie; Ruilong ;   et al.
2021-03-11
Replacement metal gate structures
Grant 10,930,754 - Basker , et al. February 23, 2
2021-02-23
Dual Step Etch-back Inner Spacer Formation
App 20210043728 - Greene; Andrew M. ;   et al.
2021-02-11
Dual silicide liner flow for enabling low contact resistance
Grant 10,916,471 - Adusumilli , et al. February 9, 2
2021-02-09
Vertical transistor with a body contact for back-biasing
Grant 10,916,660 - Basker , et al. February 9, 2
2021-02-09
Protective Bilayer Inner Spacer For Nanosheet Devices
App 20210028297 - Yao; Yao ;   et al.
2021-01-28
Vertical FET with shaped spacer to reduce parasitic capacitance
Grant 10,903,338 - Wang , et al. January 26, 2
2021-01-26
Embedded source/drain structure for tall FinFet and method of formation
Grant 10,896,976 - Basker , et al. January 19, 2
2021-01-19
Semiconductor device with mitigated local layout effects
Grant 10,892,181 - Zhou , et al. January 12, 2
2021-01-12
Metal-insulator-metal capacitor structure
Grant 10,886,363 - Basker , et al. January 5, 2
2021-01-05
Two-dimensional (2d) Self-aligned Contact (or Via) To Enable Further Device Scaling
App 20200411376 - Wang; Junli ;   et al.
2020-12-31
Method And Structure Of Metal Cut
App 20200381296 - Fan; Su Chen ;   et al.
2020-12-03
Composite spacer enabling uniform doping in recessed fin devices
Grant 10,854,733 - Basker , et al. December 1, 2
2020-12-01
Source and drain contact cut last process to enable wrap-around-contact
Grant 10,840,345 - Greene , et al. November 17, 2
2020-11-17
Nanosheet device with close source drain proximity
Grant 10,840,360 - Basker , et al. November 17, 2
2020-11-17
Capacitors
Grant 10,833,149 - Basker , et al. November 10, 2
2020-11-10
Self-aligned gate isolation with asymmetric cut placement
Grant 10,832,916 - Xie , et al. November 10, 2
2020-11-10
Substantially defect free relaxed heterogeneous semiconductor fins on bulk substrates
Grant 10,833,192 - Basker , et al. November 10, 2
2020-11-10
Vertical field effect transistor with top and bottom airgap spacers
Grant 10,833,155 - Yeh , et al. November 10, 2
2020-11-10
Sacrificial gate spacer regions for gate contacts formed over the active region of a transistor
Grant 10,832,961 - Fan , et al. November 10, 2
2020-11-10
Metal-insulator-metal capacitor structure
Grant 10,833,147 - Basker , et al. November 10, 2
2020-11-10
Transistor Having Reduced Contact Resistance
App 20200350403 - Basker; Veeraraghavan S. ;   et al.
2020-11-05
Metal-insulator-metal capacitor structure
Grant 10,825,890 - Basker , et al. November 3, 2
2020-11-03
Metal-insulator-metal capacitor structure
Grant 10,825,891 - Basker , et al. November 3, 2
2020-11-03
Sacrificial Gate Spacer Regions For Gate Contacts Formed Over The Active Region Of A Transistor
App 20200335401 - Fan; Su Chen ;   et al.
2020-10-22
Source And Drain Epi Protective Spacer During Single Diffusion Break Formation
App 20200328121 - Yao; Yao ;   et al.
2020-10-15
Finfet Devices
App 20200328124 - Basker; Veeraraghavan S. ;   et al.
2020-10-15
Electrical Isolation For Nanosheet Transistor Devices
App 20200312956 - Yeh; Chun-chen ;   et al.
2020-10-01
Vertical Field Effect Transistor With Top And Bottom Airgap Spacers
App 20200303497 - Yeh; Chun-Chen ;   et al.
2020-09-24
Fin field effect transistor fabrication and devices having inverted T-shaped gate
Grant 10,784,365 - Basker , et al. Sept
2020-09-22
Transistor with recessed cross couple for gate contact over active region integration
Grant 10,770,388 - Xie , et al. Sep
2020-09-08
Punch Through Stopper In Bulk Finfet Device
App 20200259002 - A1
2020-08-13
Substantially defect free relaxed heterogeneous semiconductor fins on bulk substrates
Grant 10,734,518 - Basker , et al.
2020-08-04
Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
Grant 10,707,128 - Basker , et al.
2020-07-07
FinFET devices
Grant 10,699,962 - Basker , et al.
2020-06-30
Method Of Fin Oxidation By Flowable Oxide Fill And Steam Anneal To Mitigate Local Layout Effects
App 20200203214 - Zhou; Huimei ;   et al.
2020-06-25
Source/drain Contact Depth Control
App 20200203480 - HU; Lin ;   et al.
2020-06-25
Replacement metal gate structures
Grant 10,692,989 - Basker , et al.
2020-06-23
Fin isolation to mitigate local layout effects
Grant 10,685,866 - Zhou , et al.
2020-06-16
Electrical fuse and/or resistor structures
Grant 10,679,939 - Basker , et al.
2020-06-09
Vertical FET with shaped spacer to reduce parasitic capacitance
Grant 10,672,887 - Wang , et al.
2020-06-02
Method of fin oxidation by flowable oxide fill and steam anneal to mitigate local layout effects
Grant 10,658,224 - Zhou , et al.
2020-05-19
Formation Of Trench Silicide Source Or Drain Contacts Without Gate Damage
App 20200152509 - Greene; Andrew ;   et al.
2020-05-14
Source And Drain Contact Cut Last Process To Enable Wrap-around-contact
App 20200152751 - Greene; Andrew ;   et al.
2020-05-14
Source And Drain Contact Cut Last Process To Enable Wrap-around-contact
App 20200152756 - GREENE; Andrew ;   et al.
2020-05-14
Forming a fin using double trench epitaxy
Grant 10,651,295 - Basker , et al.
2020-05-12
STRUCTURE TO ENABLE TITANIUM CONTACT LINER ON pFET SOURCE/DRAIN REGIONS
App 20200127132 - Basker; Veeraraghavan S. ;   et al.
2020-04-23
Punch through stopper in bulk finFET device
Grant 10,629,709 - Basker , et al.
2020-04-21
Self-aligned Wrap-around Trench Contacts
App 20200118874 - Basker; Veeraraghavan S.
2020-04-16
Structure and method to form defect free high-mobility semiconductor fins on insulator
Grant 10,622,379 - Basker , et al.
2020-04-14
Selective removal of semiconductor fins
Grant 10,607,890 - Basker , et al.
2020-03-31
Replacement Metal Gate Structures
App 20200091314 - BASKER; Veeraraghavan S. ;   et al.
2020-03-19
Replacement metal gate structures
Grant 10,593,779 - Basker , et al.
2020-03-17
Electrical fuse and/or resistors structures
Grant 10,593,622 - Basker , et al.
2020-03-17
Static random access memory (SRAM) density scaling by using middle of line (MOL) flow
Grant 10,593,679 - Basker , et al.
2020-03-17
Fin Isolation To Mitigate Local Layout Effects
App 20200083088 - Zhou; Huimei ;   et al.
2020-03-12
Fin Oxidation By Flowable Oxide Fill And Steam Anneal To Mitigate Local Layout Effects
App 20200083089 - Zhou; Huimei ;   et al.
2020-03-12
Vertical Fet With Shaped Spacer To Reduce Parasitic Capacitance
App 20200083347 - Wang; Junli ;   et al.
2020-03-12
Replacement metal gate structures
Grant 10,586,857 - Basker , et al.
2020-03-10
Strained FinFET source drain isloation
Grant 10,586,867 - Cheng , et al.
2020-03-10
Replacement metal gate structures
Grant 10,580,880 - Basker , et al.
2020-03-03
Dual Silicide Liner Flow For Enabling Low Contact Resistance
App 20200066583 - Adusumilli; Praneet ;   et al.
2020-02-27
Replacement metal gate structures
Grant 10,573,726 - Basker , et al. Feb
2020-02-25
Selective Removal Of Semiconductor Fins
App 20200058554 - Basker; Veeraraghavan S. ;   et al.
2020-02-20
Embedded source/drain structure for tall FinFET and method of formation
Grant 10,559,690 - Basker , et al. Feb
2020-02-11
Dual silicide liner flow for enabling low contact resistance
Grant 10,546,776 - Adusumilli , et al. Ja
2020-01-28
Replacement Metal Gate Structures
App 20200027967 - BASKER; Veeraraghavan S. ;   et al.
2020-01-23
Air-gap top spacer and self-aligned metal gate for vertical fets
Grant 10,541,312 - Basker , et al. Ja
2020-01-21
Replacement Metal Gate Structures
App 20200020787 - BASKER; Veeraraghavan S. ;   et al.
2020-01-16
Transistor With Recessed Cross Couple For Gate Contact Over Active Region Integration
App 20190385946 - Xie; Ruilong ;   et al.
2019-12-19
Semiconductor fins for FinFET devices and sidewall image transfer (SIT) processes for manufacturing the same
Grant 10,504,786 - Basker , et al. Dec
2019-12-10
Embedded Source/drain Structure For Tall Finfet And Method Of Formation
App 20190371941 - Basker; Veeraraghavan S. ;   et al.
2019-12-05
Interface-less Contacts To Source/drain Regions And Gate Electrode Over Active Portion Of Device
App 20190355829 - Wang; Junli ;   et al.
2019-11-21
Metal-insulator-metal Capacitor Structure
App 20190348495 - BASKER; Veeraraghavan S. ;   et al.
2019-11-14
Electrical Fuse And/or Resistor Structures
App 20190341348 - BASKER; Veeraraghavan S. ;   et al.
2019-11-07
Fabrication Of Self-aligned Gate Contacts And Source/drain Contacts Directly Above Gate Electrodes And Source/drains
App 20190341309 - Basker; Veeraraghavan S. ;   et al.
2019-11-07
Nanosheet Device With Close Source Drain Proximity
App 20190341467 - Basker; Veeraraghavan S. ;   et al.
2019-11-07
Vertical transport FET devices having air gap top spacer
Grant 10,453,934 - Basker , et al. Oc
2019-10-22
Metal-insulator-metal Capacitor Structure
App 20190312100 - BASKER; Veeraraghavan S. ;   et al.
2019-10-10
Nanosheet device with close source drain proximity
Grant 10,439,049 - Basker , et al. O
2019-10-08
Electrical Fuse And/or Resistor Structures
App 20190287901 - BASKER; Veeraraghavan S. ;   et al.
2019-09-19
Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
Grant 10,418,280 - Basker , et al. Sept
2019-09-17
Semiconductor Device Including Dual Trench Epitaxial Dual-liner Contacts
App 20190273027 - Basker; Veeraraghavan S. ;   et al.
2019-09-05
Gate planarity for FinFET using dummy polish stop
Grant 10,403,740 - Basker , et al. Sep
2019-09-03
Approach to fabrication of an on-chip resistor with a field effect transistor
Grant 10,396,069 - Basker , et al. A
2019-08-27
Electrical fuse and/or resistor structures
Grant 10,396,027 - Basker , et al. A
2019-08-27
Finfet With Reduced Parasitic Capacitance
App 20190259852 - Alptekin; Emre ;   et al.
2019-08-22
Semiconductor device including dual trench epitaxial dual-liner contacts
Grant 10,388,576 - Basker , et al. A
2019-08-20
Metal-insulator-metal capacitor structure
Grant 10,388,718 - Basker , et al. A
2019-08-20
Metal-insulator-metal Capacitor Structure
App 20190252490 - BASKER; Veeraraghavan S. ;   et al.
2019-08-15
Embedded Source/drain Structure For Tall Finfet And Method Of Formation
App 20190252548 - Basker; Veeraraghavan S. ;   et al.
2019-08-15
Replacement Metal Gate Structures
App 20190245059 - BASKER; Veeraraghavan S. ;   et al.
2019-08-08
Integrating metal-insulator-metal capacitors with air gap process flow
Grant 10,373,905 - Basker , et al.
2019-08-06
Electrical fuse and/or resistor structures
Grant 10,361,155 - Basker , et al.
2019-07-23
Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
Grant 10,361,210 - Basker , et al.
2019-07-23
Contact structure and extension formation for III-V nFET
Grant 10,361,307 - Basker , et al.
2019-07-23
SiGe FINS FORMED ON A SUBSTRATE
App 20190214254 - Basker; Veeraraghavan S. ;   et al.
2019-07-11
SiGe FINS FORMED ON A SUBSTRATE
App 20190214253 - Basker; Veeraraghavan S. ;   et al.
2019-07-11
Capacitors
App 20190214456 - BASKER; Veeraraghavan S. ;   et al.
2019-07-11
Split fin field effect transistor enabling back bias on fin type field effect transistors
Grant 10,347,765 - Basker , et al. July 9, 2
2019-07-09
Fabrication Of Self-aligned Gate Contacts And Source/drain Contacts Directly Above Gate Electrodes And Source/drains
App 20190198393 - Basker; Veeraraghavan S. ;   et al.
2019-06-27
Fabrication Of Self-aligned Gate Contacts And Source/drain Contacts Directly Above Gate Electrodes And Source/drains
App 20190198394 - Basker; Veeraraghavan S. ;   et al.
2019-06-27
Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
Grant 10,332,796 - Basker , et al.
2019-06-25
Nanosheet Device With Close Source Drain Proximity
App 20190189769 - Basker; Veeraraghavan S. ;   et al.
2019-06-20
Forming A Fin Using Double Trench Epitaxy
App 20190189784 - Basker; Veeraraghavan S. ;   et al.
2019-06-20
FinFET with reduced parasitic capacitance
Grant 10,326,000 - Alptekin , et al.
2019-06-18
Contact area to trench silicide resistance reduction by high-resistance interface removal
Grant 10,325,999 - Basker , et al.
2019-06-18
Finfet Devices
App 20190181049 - BASKER; Veeraraghavan S. ;   et al.
2019-06-13
Vertical Fet With Shaped Spacer To Reduce Parasitic Capacitance
App 20190181238 - Wang; Junli ;   et al.
2019-06-13
Replacement Metal Gate Structures
App 20190181242 - BASKER; Veeraraghavan S. ;   et al.
2019-06-13
Fin field effect transistor fabrication and devices having inverted T-shaped gate
Grant 10,319,840 - Basker , et al.
2019-06-11
FinFET devices
Grant 10,319,640 - Basker , et al.
2019-06-11
Metal-insulator-metal capacitor structure
Grant 10,312,318 - Basker , et al.
2019-06-04
Localized fin width scaling using a hydrogen anneal
Grant 10,312,377 - Basker , et al.
2019-06-04
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20190165142 - Basker; Veeraraghavan S. ;   et al.
2019-05-30
Replacement metal gate structures
Grant 10,304,941 - Basker , et al.
2019-05-28
SiGe fins formed on a substrate
Grant 10,297,448 - Basker , et al.
2019-05-21
Gate top spacer for FinFET
Grant 10,297,614 - Basker , et al.
2019-05-21
Precise control of vertical transistor gate length
Grant 10,297,689 - Basker , et al.
2019-05-21
Replacement Metal Gate Structures
App 20190148554 - BASKER; Veeraraghavan S. ;   et al.
2019-05-16
Substantially Defect Free Relaxed Heterogeneous Semiconductor Fins On Bulk Substrates
App 20190148549 - Basker; Veeraraghavan S. ;   et al.
2019-05-16
CMOS compatible fuse or resistor using self-aligned contacts
Grant 10,290,633 - Basker , et al.
2019-05-14
Replacement Metal Gate Structures
App 20190140098 - BASKER; Veeraraghavan S. ;   et al.
2019-05-09
Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
Grant 10,283,406 - Basker , et al.
2019-05-07
Capacitors
Grant 10,283,586 - Basker , et al.
2019-05-07
FinFET devices
Grant 10,276,658 - Basker , et al.
2019-04-30
Substantially Defect Free Relaxed Heterogeneous Semiconductor Fins On Bulk Substrates
App 20190123199 - Basker; Veeraraghavan S. ;   et al.
2019-04-25
Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
Grant 10,269,644 - Basker , et al.
2019-04-23
Forming a fin using double trench epitaxy
Grant 10,256,327 - Basker , et al.
2019-04-09
Method and structure for forming FinFET CMOS with dual doped STI regions
Grant 10,249,537 - Basker , et al.
2019-04-02
Semiconductor fins for FinFET devices and sidewall image transfer (SIT) processes for manufacturing the same
Grant 10,249,536 - Basker , et al.
2019-04-02
FinFET CMOS with silicon fin N-channel FET and silicon germanium fin P-channel FET
Grant 10,236,293 - Basker , et al.
2019-03-19
Replacement metal gate structures
Grant 10,236,359 - Basker , et al.
2019-03-19
Precise control of vertical transistor gate length
Grant 10,236,380 - Basker , et al.
2019-03-19
Approach to fabrication of an on-chip resistor with a field effect transistor
Grant 10,236,289 - Basker , et al.
2019-03-19
FinFET devices
Grant 10,224,247 - Basker , et al.
2019-03-05
Fin field effect transistor fabrication and devices having inverted T-shaped gate
Grant 10,224,417 - Basker , et al.
2019-03-05
Punch through stopper in bulk finFET device
Grant 10,224,420 - Basker , et al.
2019-03-05
Replacement metal gate structures
Grant 10,217,840 - Basker , et al. Feb
2019-02-26
Embedded Source/drain Structure For Tall Finfet And Method Of Formation
App 20190051751 - Basker; Veeraraghavan S. ;   et al.
2019-02-14
Selective epitaxy growth for semiconductor devices with fin field-effect transistors (FinFET)
Grant 10,204,916 - Basker , et al. Feb
2019-02-12
Electrical Fuse And/or Resistor Structures
App 20190019752 - BASKER; Veeraraghavan S. ;   et al.
2019-01-17
Finfet Devices
App 20190013247 - BASKER; Veeraraghavan S. ;   et al.
2019-01-10
Replacement metal gate structures
Grant 10,177,256 - Basker , et al. J
2019-01-08
Structure and method to prevent EPI short between trenches in FinFET eDRAM
Grant 10,177,154 - Aquilino , et al. J
2019-01-08
FinFET with reduced parasitic capacitance
Grant 10,170,581 - Alptekin , et al. J
2019-01-01
Capacitors
Grant 10,170,540 - Basker , et al. J
2019-01-01
Punch through stopper in bulk finFET device
Grant 10,170,594 - Basker , et al. J
2019-01-01
Substantially defect free relaxed heterogeneous semiconductor fins on bulk substrates
Grant 10,170,620 - Basker , et al. J
2019-01-01
Finfet Devices
App 20180374756 - BASKER; Veeraraghavan S. ;   et al.
2018-12-27
Finfet including improved epitaxial topology
Grant 10,164,110 - Basker , et al. Dec
2018-12-25
FinFET devices
Grant 10,157,797 - Basker , et al. Dec
2018-12-18
CMOS compatible fuse or resistor using self-aligned contacts
Grant 10,157,912 - Basker , et al. Dec
2018-12-18
Punch Through Stopper In Bulk Finfet Device
App 20180350959 - Basker; Veeraraghavan S. ;   et al.
2018-12-06
Air-gap Top Spacer And Self-aligned Metal Gate For Vertical Fets
App 20180350939 - Basker; Veeraraghavan S. ;   et al.
2018-12-06
Electrical fuse and/or resistor structures
Grant 10,147,679 - Basker , et al. De
2018-12-04
FinFET devices
Grant 10,141,402 - Basker , et al. Nov
2018-11-27
Vertical Transistor With A Body Contact For Back-biasing
App 20180337059 - Basker; Veeraraghavan S. ;   et al.
2018-11-22
Gate top spacer for finFET
Grant 10,134,763 - Basker , et al. November 20, 2
2018-11-20
Nanowire semiconductor device including lateral-etch barrier region
Grant 10,134,864 - Basker , et al. November 20, 2
2018-11-20
Nanowire semiconductor device including lateral-etch barrier region
Grant 10,128,335 - Basker , et al. November 13, 2
2018-11-13
Contact structure and extension formation for III-V nFET
Grant 10,121,703 - Basker , et al. November 6, 2
2018-11-06
Punch through stopper in bulk FinFET device
Grant 10,109,723 - Basker , et al. October 23, 2
2018-10-23
Punch through stopper in bulk finFET device
Grant 10,103,251 - Basker , et al. October 16, 2
2018-10-16
Vertical transistor with a body contact for back-biasing
Grant 10,096,484 - Basker , et al. October 9, 2
2018-10-09
Cmos Compatible Fuse Or Resistor Using Self-aligned Contacts
App 20180286856 - Basker; Veeraraghavan S. ;   et al.
2018-10-04
Aggressive tip-to-tip scaling using subtractive integration
Grant 10,090,197 - Basker , et al. October 2, 2
2018-10-02
Air-gap top spacer and self-aligned metal gate for vertical fets
Grant 10,090,411 - Basker , et al. October 2, 2
2018-10-02
Precise Control Of Vertical Transistor Gate Length
App 20180277676 - Basker; Veeraraghavan S. ;   et al.
2018-09-27
Hybrid logic and SRAM contacts
Grant 10,083,972 - Basker , et al. September 25, 2
2018-09-25
Punch through stopper in bulk finFET device
Grant 10,084,070 - Basker , et al. September 25, 2
2018-09-25
Replacement Metal Gate Structures
App 20180269326 - BASKER; Veeraraghavan S. ;   et al.
2018-09-20
Replacement Metal Gate Structures
App 20180269309 - BASKER; Veeraraghavan S. ;   et al.
2018-09-20
FinFET CMOS with silicon fin n-channel FET and silicon germanium fin p-channel FET
Grant 10,079,232 - Basker , et al. September 18, 2
2018-09-18
Forming A Fin Using Double Trench Epitaxy
App 20180254333 - Basker; Veeraraghavan S. ;   et al.
2018-09-06
Silicon germanium fins on insulator formed by lateral recrystallization
Grant 10,068,920 - Reznicek , et al. September 4, 2
2018-09-04
Composite Spacer Enabling Uniform Doping In Recessed Fin Devices
App 20180248017 - Basker; Veeraraghavan S. ;   et al.
2018-08-30
Fin field-effect transistor (FinFET) with reduced parasitic capacitance
Grant 10,062,785 - Basker , et al. August 28, 2
2018-08-28
Replacement metal gate structures
Grant 10,056,489 - Basker , et al. August 21, 2
2018-08-21
Gate stack integrated metal resistors
Grant 10,056,366 - Basker , et al. August 21, 2
2018-08-21
Gate stack integrated metal resistors
Grant 10,056,367 - Basker , et al. August 21, 2
2018-08-21
Integrating Metal-insulator-metal Capacitors With Air Gap Process Flow
App 20180233446 - Basker; Veeraraghavan S. ;   et al.
2018-08-16
Replacement Metal Gate Structures
App 20180233581 - BASKER; Veeraraghavan S. ;   et al.
2018-08-16
Contact line having insulating spacer therein and method of forming same
Grant 10,049,985 - Basker , et al. August 14, 2
2018-08-14
Replacement metal gate structures
Grant 10,050,121 - Basker , et al. August 14, 2
2018-08-14
Precise control of vertical transistor gate length
Grant 10,050,141 - Basker , et al. August 14, 2
2018-08-14
Structure And Method To Form Defect Free High-mobility Semiconductor Fins On Insulator
App 20180219026 - Basker; Veeraraghavan S. ;   et al.
2018-08-02
Semiconductor fins for finFET devices and sidewall image transfer (SIT) processes for manufacturing the same
Grant 10,037,916 - Basker , et al. July 31, 2
2018-07-31
Fabrication Of Self-aligned Gate Contacts And Source/drain Contacts Directly Above Gate Electrodes And Source/drains
App 20180211875 - Basker; Veeraraghavan S. ;   et al.
2018-07-26
Fabrication Of Self-aligned Gate Contacts And Source/drain Contacts Directly Above Gate Electrodes And Source/drains
App 20180211874 - Basker; Veeraraghavan S. ;   et al.
2018-07-26
Method and structure to fabricate closely packed hybrid nanowires at scaled pitch
Grant 10,032,677 - Basker , et al. July 24, 2
2018-07-24
Integrating metal-insulator-metal capacitors with air gap process flow
Grant 10,032,711 - Basker , et al. July 24, 2
2018-07-24
Cmos compatible fuse or resistor using self-aligned contacts
Grant 10,032,769 - Basker , et al. July 24, 2
2018-07-24
FinFET with reduced capacitance
Grant 10,032,773 - Basker , et al. July 24, 2
2018-07-24
Approach To Fabrication Of An On-chip Resistor With A Field Effect Transistor
App 20180204832 - Basker; Veeraraghavan S. ;   et al.
2018-07-19
Approach To Fabrication Of An On-chip Resistor With A Field Effect Transistor
App 20180204834 - Basker; Veeraraghavan S. ;   et al.
2018-07-19
FinFET CMOS WITH SILICON FIN N-CHANNEL FET AND SILICON GERMANIUM FIN P-CHANNEL FET
App 20180197860 - Basker; Veeraraghavan S ;   et al.
2018-07-12
Electrical fuse and/or resistor structures
Grant 10,020,257 - Basker , et al. July 10, 2
2018-07-10
Forming a fin using double trench epitaxy
Grant 10,020,384 - Basker , et al. July 10, 2
2018-07-10
FinFET devices
Grant 10,014,221 - Basker , et al. July 3, 2
2018-07-03
Soi Finfet Fins With Recessed Fins And Epitaxy In Source Drain Region
App 20180175197 - REZNICEK; Alexander ;   et al.
2018-06-21
Composite spacer enabling uniform doping in recessed fin devices
Grant 10,002,945 - Basker , et al. June 19, 2
2018-06-19
Nanowire semiconductor device including lateral-etch barrier region
Grant 10,002,921 - Basker , et al. June 19, 2
2018-06-19
Contact Structure And Extension Formation For Iii-v Nfet
App 20180166561 - Basker; Veeraraghavan S. ;   et al.
2018-06-14
Voidless contact metal structures
Grant 9,997,407 - Basker , et al. June 12, 2
2018-06-12
Low resistance dual liner contacts for fin field-effect transistors (FinFETs)
Grant 9,997,416 - Adusumilli , et al. June 12, 2
2018-06-12
Contact Area To Trench Silicide Resistance Reduction By High-resistance Interface Removal
App 20180158923 - Basker; Veeraraghavan S. ;   et al.
2018-06-07
Anchored stress-generating active semiconductor regions for semiconductor-on-insulator FinFET
Grant 9,991,366 - Basker , et al. June 5, 2
2018-06-05
Finfet With Reduced Parasitic Capacitance
App 20180151686 - Alptekin; Emre ;   et al.
2018-05-31
FinFET with reduced parasitic capacitance
Grant 9,985,109 - Alptekin , et al. May 29, 2
2018-05-29
Fin field effect transistor structure and method to form defect free merged source and drain epitaxy for low external resistance
Grant 9,985,114 - Basker , et al. May 29, 2
2018-05-29
Selectively degrading current resistance of field effect transistor devices
Grant 9,985,032 - Basker , et al. May 29, 2
2018-05-29
Strained Finfet Source Drain Isolation
App 20180145178 - Cheng; Kangguo ;   et al.
2018-05-24
Gate Top Spacer For Finfet
App 20180145092 - Basker; Veeraraghavan S. ;   et al.
2018-05-24
High-k Layer Chamfering To Prevent Oxygen Ingress In Replacement Metal Gate (rmg) Process
App 20180145150 - Ando; Takashi ;   et al.
2018-05-24
Forming A Fin Using Double Trench Epitaxy
App 20180138296 - Basker; Veeraraghavan S. ;   et al.
2018-05-17
Contact area to trench silicide resistance reduction by high-resistance interface removal
Grant 9,966,454 - Basker , et al. May 8, 2
2018-05-08
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20180122923 - Basker; Veeraraghavan S. ;   et al.
2018-05-03
Capacitor strap connection structure and fabrication method
Grant 9,960,168 - Basker , et al. May 1, 2
2018-05-01
Finfet With Reduced Parasitic Capacitance
App 20180114846 - Alptekin; Emre ;   et al.
2018-04-26
Finfet With Reduced Parasitic Capacitence
App 20180114847 - Alptekin; Emre ;   et al.
2018-04-26
Static Random Access Memory (sram) Density Scaling By Using Middle Of Line (mol) Flow
App 20180114792 - Basker; Veeraraghavan S. ;   et al.
2018-04-26
Strained FinFET source drain isolation
Grant 9,954,107 - Cheng , et al. April 24, 2
2018-04-24
Split Fin Field Effect Transistor Enabling Back Bias On Fin Type Field Effect Transistors
App 20180108772 - Basker; Veeraraghavan S. ;   et al.
2018-04-19
Tunneling fin type field effect transistor with epitaxial source and drain regions
Grant 9,947,586 - Basker , et al. April 17, 2
2018-04-17
Nanowire semiconductor device including lateral-etch barrier region
Grant 9,947,744 - Basker , et al. April 17, 2
2018-04-17
FinFET with reduced capacitance
Grant 9,947,763 - Basker , et al. April 17, 2
2018-04-17
FinFET CMOS with silicon fin N-channel FET and silicon germanium fin P-channel FET
Grant 9,947,663 - Basker , et al. April 17, 2
2018-04-17
Selective Epitaxy Growth For Semiconductor Devices With Fin Field-effect Transistors (finfet)
App 20180102369 - Basker; Veeraraghavan S. ;   et al.
2018-04-12
Structure and method to form defect free high-mobility semiconductor fins on insulator
Grant 9,941,302 - Basker , et al. April 10, 2
2018-04-10
Electrical fuse and/or resistor structures
Grant 9,941,205 - Basker , et al. April 10, 2
2018-04-10
Finfet with reduced capacitance
Grant 9,941,385 - Basker , et al. April 10, 2
2018-04-10
Gate planarity for FinFET using dummy polish stop
Grant 9,941,392 - Basker , et al. April 10, 2
2018-04-10
Air-gap top spacer and self-aligned metal gate for vertical FETs
Grant 9,941,378 - Basker , et al. April 10, 2
2018-04-10
Transistor And Method Of Forming Same
App 20180097112 - Basker; Veeraraghavan S. ;   et al.
2018-04-05
Finfet Devices
App 20180090567 - BASKER; Veeraraghavan S. ;   et al.
2018-03-29
Selective epitaxy growth for semiconductor devices with fin field-effect transistors (FinFET)
Grant 9,929,163 - Basker , et al. March 27, 2
2018-03-27
Method And Structure For Forming Finfet Cmos With Dual Doped Sti Regions
App 20180082904 - Basker; Veeraraghavan S. ;   et al.
2018-03-22
Forming a fin using double trench epitaxy
Grant 9,923,084 - Basker , et al. March 20, 2
2018-03-20
FinFET CMOS WITH SILICON FIN N-CHANNEL FET AND SILICON GERMANIUM FIN P-CHANNEL FET
App 20180076200 - Basker; Veeraraghavan S. ;   et al.
2018-03-15
FinFET CMOS WITH SILICON FIN N-CHANNEL FET AND SILICON GERMANIUM FIN P-CHANNEL FET
App 20180076202 - Basker; Veeraraghavan S. ;   et al.
2018-03-15
Finfet Devices
App 20180076094 - BASKER; Veeraraghavan S. ;   et al.
2018-03-15
Approach to fabrication of an on-chip resistor with a field effect transistor
Grant 9,917,082 - Basker , et al. March 13, 2
2018-03-13
Contact structure and extension formation for III-V nFET
Grant 9,917,177 - Basker , et al. March 13, 2
2018-03-13
Electrical Fuse And/or Resistor Structures
App 20180068948 - BASKER; Veeraraghavan S. ;   et al.
2018-03-08
Transistor and method of forming same
Grant 9,911,849 - Basker , et al. March 6, 2
2018-03-06
Composite Spacer Enabling Uniform Doping In Recessed Fin Devices
App 20180061966 - Basker; Veeraraghavan S. ;   et al.
2018-03-01
Replacement Metal Gate Structures
App 20180061965 - BASKER; Veeraraghavan S. ;   et al.
2018-03-01
Contact Structure And Extension Formation For Iii-v Nfet
App 20180061968 - Basker; Veeraraghavan S. ;   et al.
2018-03-01
Method and structure for forming FinFET CMOS with dual doped STI regions
Grant 9,905,469 - Basker , et al. February 27, 2
2018-02-27
SOI FinFET fins with recessed fins and epitaxy in source drain region
Grant 9,905,692 - Reznicek , et al. February 27, 2
2018-02-27
Replacement Metal Gate Structures
App 20180053854 - BASKER; Veeraraghavan S. ;   et al.
2018-02-22
Electrical Fuse And/or Resistor Structures
App 20180053720 - BASKER; Veeraraghavan S. ;   et al.
2018-02-22
Increased contact area for finFETs
Grant 9,899,525 - Basker , et al. February 20, 2
2018-02-20
Split fin field effect transistor enabling back bias on fin type field effect transistors
Grant 9,899,524 - Basker , et al. February 20, 2
2018-02-20
Replacement Metal Gate Structures
App 20180047827 - BASKER; Veeraraghavan S. ;   et al.
2018-02-15
Electrical Fuse And/or Resistor Structures
App 20180047671 - BASKER; Veeraraghavan S. ;   et al.
2018-02-15
Gate Top Spacer For Finfet
App 20180047754 - Basker; Veeraraghavan S. ;   et al.
2018-02-15
Fin field effect transistor fabrication and devices having inverted T-shaped gate
Grant 9,893,171 - Basker , et al. February 13, 2
2018-02-13
Electrical Fuse And/or Resistor Structures
App 20180040557 - BASKER; Veeraraghavan S. ;   et al.
2018-02-08
Static random access memory (SRAM) density scaling by using middle of line (MOL) flow
Grant 9,881,926 - Basker , et al. January 30, 2
2018-01-30
Punch Through Stopper In Bulk Finfet Device
App 20180026120 - Basker; Veeraraghavan S. ;   et al.
2018-01-25
Integrating Metal-insulator-metal Capacitors With Air Gap Process Flow
App 20180025974 - Basker; Veeraraghavan S. ;   et al.
2018-01-25
CMOS compatible fuse or resistor using self-aligned contacts
Grant 9,876,009 - Basker , et al. January 23, 2
2018-01-23
Electrical fuse and/or resistor structures
Grant 9,870,989 - Basker , et al. January 16, 2
2018-01-16
Replacement metal gate structures
Grant 9,871,116 - Basker , et al. January 16, 2
2018-01-16
High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
Grant 9,865,703 - Ando , et al. January 9, 2
2018-01-09
Method and structure to fabricate closely packed hybrid nanowires at scaled pitch
Grant 9,865,508 - Basker , et al. January 9, 2
2018-01-09
Replacement metal gate structures
Grant 9,865,739 - Basker , et al. January 9, 2
2018-01-09
Aggressive Tip-to-tip Scaling Using Subtractive Integration
App 20180005884 - Basker; Veeraraghavan S. ;   et al.
2018-01-04
Semiconductor Device Including Dual Trench Epitaxial Dual-liner Contacts
App 20180005903 - Basker; Veeraraghavan S. ;   et al.
2018-01-04
Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
Grant 9,859,286 - Basker , et al. January 2, 2
2018-01-02
Selectively degrading current resistance of field effect transistor devices
Grant 9,859,280 - Basker , et al. January 2, 2
2018-01-02
Voidless contact metal structures
Grant 9,859,216 - Basker , et al. January 2, 2
2018-01-02
Fin Pitch Scaling For High Voltage Devices And Low Voltage Devices On The Same Wafer
App 20170372968 - Basker; Veeraraghavan S. ;   et al.
2017-12-28
Contact Line Having Insulating Spacer Therein And Method Of Forming Same
App 20170373007 - Basker; Veeraraghavan S. ;   et al.
2017-12-28
Self aligned epitaxial based punch through control
Grant 9,853,159 - Basker , et al. December 26, 2
2017-12-26
Method and structure for multigate FinFet device epi-extension junction control by hydrogen treatment
Grant 9,853,158 - Basker , et al. December 26, 2
2017-12-26
MIM capacitor formation in RMG module
Grant 9,853,022 - Basker , et al. December 26, 2
2017-12-26
Structure And Method To Prevent Epi Short Between Trenches In Finfet Edram
App 20170365606 - Aquilino; Michael V. ;   et al.
2017-12-21
Precise Control Of Vertical Transistor Gate Length
App 20170358675 - Basker; Veeraraghavan S. ;   et al.
2017-12-14
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20170352744 - Basker; Veeraraghavan S. ;   et al.
2017-12-07
LOW RESISTANCE DUAL LINER CONTACTS FOR FIN FIELD-EFFECT TRANSISTORS (FinFETs)
App 20170352597 - Adusumilli; Praneet ;   et al.
2017-12-07
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20170352659 - Basker; Veeraraghavan S. ;   et al.
2017-12-07
Soi Finfet Fins With Recessed Fins And Epitaxy In Source Drain Region
App 20170338345 - REZNICEK; Alexander ;   et al.
2017-11-23
Substantially Defect Free Relaxed Heterogeneous Semiconductor Fins On Bulk Substrates
App 20170338344 - Basker; Veeraraghavan S. ;   et al.
2017-11-23
Contact Line Having Insulating Spacer Therein And Method Of Forming Same
App 20170330834 - Basker; Veeraraghavan S. ;   et al.
2017-11-16
Cmos Compatible Fuse Or Resistor Using Self-aligned Contacts
App 20170330875 - Basker; Veeraraghavan S. ;   et al.
2017-11-16
Air-gap Top Spacer And Self-aligned Metal Gate For Vertical Fets
App 20170330965 - Basker; Veeraraghavan S. ;   et al.
2017-11-16
Air-gap Top Spacer And Self-aligned Metal Gate For Vertical Fets
App 20170330951 - Basker; Veeraraghavan S. ;   et al.
2017-11-16
Structure and method to prevent EPI short between trenches in FINFET eDRAM
Grant 9,818,741 - Aquilino , et al. November 14, 2
2017-11-14
Embedded source/drain structure for tall finFET and method of formation
Grant 9,818,877 - Basker , et al. November 14, 2
2017-11-14
Punch Through Stopper In Bulk Finfet Device
App 20170323956 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Split Fin Field Effect Transistor Enabling Back Bias On Fin Type Field Effect Transistors
App 20170323944 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Precise Control Of Vertical Transistor Gate Length
App 20170323967 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Vertical Transistor With A Body Contact For Back-biasing
App 20170323948 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Split Fin Field Effect Transistor Enabling Back Bias On Fin Type Field Effect Transistors
App 20170323964 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Precise Control Of Vertical Transistor Gate Length
App 20170323968 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Precise control of vertical transistor gate length
Grant 9,812,567 - Basker , et al. November 7, 2
2017-11-07
Contact line having insulating spacer therein and method of forming same
Grant 9,812,400 - Basker , et al. November 7, 2
2017-11-07
Structure And Method To Form Defect Free High-mobility Semiconductor Fins On Insulator
App 20170309647 - Basker; Veeraraghavan S. ;   et al.
2017-10-26
Gate Planarity For Finfet Using Dummy Polish Stop
App 20170309729 - Basker; Veeraraghavan S. ;   et al.
2017-10-26
Silicon Germanium Fins On Insulator Formed By Lateral Recrystallization
App 20170301697 - Reznicek; Alexander ;   et al.
2017-10-19
Fin Pitch Scaling For High Voltage Devices And Low Voltage Devices On The Same Wafer
App 20170301671 - Basker; Veeraraghavan S. ;   et al.
2017-10-19
Self Aligned Epitaxial Based Punch Through Control
App 20170301786 - Basker; Veeraraghavan S. ;   et al.
2017-10-19
Increased Contact Area For Finfets
App 20170250285 - Basker; Veeraraghavan S. ;   et al.
2017-08-31
Replacement Metal Gate Structures
App 20170236938 - BASKER; Veeraraghavan S. ;   et al.
2017-08-17
Replacement Metal Gate Structures
App 20170236918 - BASKER; Veeraraghavan S. ;   et al.
2017-08-17
Tunneling Fin Type Field Effect Transistor With Epitaxial Source And Drain Regions
App 20170236755 - Basker; Veeraraghavan S. ;   et al.
2017-08-17
FIN FIELD-EFFECT TRANSISTOR (FinFET) WITH REDUCED PARASITIC CAPACITANCE
App 20170236933 - Basker; Veeraraghavan S. ;   et al.
2017-08-17
Nanowire Semiconductor Device Including Lateral-etch Barrier Region
App 20170229553 - Basker; Veeraraghavan S. ;   et al.
2017-08-10
Nanowire Semiconductor Device Including Lateral-etch Barrier Region
App 20170221991 - Basker; Veeraraghavan S. ;   et al.
2017-08-03
Electrical Fuse And/or Resistor Structures
App 20170221822 - BASKER; Veeraraghavan S. ;   et al.
2017-08-03
Forming A Fin Using Double Trench Epitaxy
App 20170207323 - Basker; Veeraraghavan S. ;   et al.
2017-07-20
Gate Planarity For Finfet Using Dummy Polish Stop
App 20170200714 - Basker; Veeraraghavan S. ;   et al.
2017-07-13
Fin Field-effect Transistor (finfet) With Reduced Parasitic Capacitance
App 20170194436 - Basker; Veeraraghavan S. ;   et al.
2017-07-06
Semiconductor Fins For Finfet Devices And Sidewall Image Transfer (sit) Processes For Manufacturing The Same
App 20170194462 - Basker; Veeraraghavan S. ;   et al.
2017-07-06
Semiconductor Fins For Finfet Devices And Sidewall Image Transfer (sit) Processes For Manufacturing The Same
App 20170194207 - Basker; Veeraraghavan S. ;   et al.
2017-07-06
Semiconductor Fins For Finfet Devices And Sidewall Image Transfer (sit) Processes For Manufacturing The Same
App 20170194358 - Basker; Veeraraghavan S. ;   et al.
2017-07-06
Electrical Fuse And/or Resistor Structures
App 20170194251 - BASKER; Veeraraghavan S. ;   et al.
2017-07-06
High-k Layer Chamfering To Prevent Oxygen Ingress In Replacement Metal Gate (rmg) Process
App 20170194459 - Ando; Takashi ;   et al.
2017-07-06
Selective Removal Of Semiconductor Fins
App 20170178960 - Basker; Veeraraghavan S. ;   et al.
2017-06-22
Cmos Compatible Fuse Or Resistor Using Self-aligned Contacts
App 20170170169 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Forming Metal-insulator-metal Capacitor
App 20170170168 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Voidless Contact Metal Structures
App 20170170119 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Contact Area To Trench Silicide Resistance Reduction By High-resistance Interface Removal
App 20170170290 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Localized Elastic Strain Relaxed Buffer
App 20170170014 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Voidless Contact Metal Structures
App 20170170064 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Method and Structure to Fabricate Closely Packed Hybrid Nanowires at Scaled Pitch
App 20170170073 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Fin Field Effect Transistor Structure And Method To Form Defect Free Merged Source And Drain Epitaxy For Low External Resistance
App 20170162671 - Basker; Veeraraghavan S. ;   et al.
2017-06-08
Transistor And Method Of Forming Same
App 20170162694 - Basker; Veeraraghavan S. ;   et al.
2017-06-08
SiGe FINS FORMED ON A SUBSTRATE
App 20170154788 - Basker; Veeraraghavan S. ;   et al.
2017-06-01
Three-dimensional Metal Resistor Formation
App 20170154950 - Basker; Veeraraghavan S. ;   et al.
2017-06-01
Fin Pitch Scaling For High Voltage Devices And Low Voltage Devices On The Same Wafer
App 20170148681 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
Fin Pitch Scaling For High Voltage Devices And Low Voltage Devices On The Same Wafer
App 20170148788 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
Finfet With Post-rmg Gate Cut
App 20170148682 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
Selective Epitaxy Growth For Semiconductor Devices With Fin Field-effect Transistors (finfet)
App 20170148796 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
Hybrid Logic And Sram Contacts
App 20170148799 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
Selective Epitaxy Growth For Semiconductor Devices With Fin Fieldeffect Transistors (finfet)
App 20170148798 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
Capacitors
App 20170141184 - BASKER; Veeraraghavan S. ;   et al.
2017-05-18
Gate Stack Integrated Metal Resistors
App 20170140993 - Basker; Veeraraghavan S. ;   et al.
2017-05-18
Finfet Devices
App 20170140995 - BASKER; Veeraraghavan S. ;   et al.
2017-05-18
Gate Stack Integrated Metal Resistors
App 20170141102 - Basker; Veeraraghavan S. ;   et al.
2017-05-18
Dual Silicide Liner Flow For Enabling Low Contact Resistance
App 20170125289 - Adusumilli; Praneet ;   et al.
2017-05-04
Mim Capacitor Formation In Rmg Module
App 20170125511 - Basker; Veeraraghavan S. ;   et al.
2017-05-04
Dual Silicide Liner Flow For Enabling Low Contact Resistance
App 20170125338 - Adusumilli; Praneet ;   et al.
2017-05-04
Dual Silicide Liner Flow For Enabling Low Contact Resistance
App 20170125306 - Adusumilli; Praneet ;   et al.
2017-05-04
Methods For Contact Formation For 10 Nanometers And Beyond With Minimal Mask Counts
App 20170092539 - Basker; Veeraraghavan S.
2017-03-30
Metal-insulator-metal Capacitor Structure
App 20170084681 - BASKER; Veeraraghavan S. ;   et al.
2017-03-23
Metal-insulator-metal Capacitor Structure
App 20170084683 - BASKER; Veeraraghavan S. ;   et al.
2017-03-23
Gate Planarity For Finfet Using Dummy Polish Stop
App 20170084612 - Basker; Veeraraghavan S. ;   et al.
2017-03-23
Metal-insulator-metal Capacitor Structure
App 20170084684 - BASKER; Veeraraghavan S. ;   et al.
2017-03-23
Gate Planarity For Finfet Using Dummy Polish Stop
App 20170084724 - Basker; Veeraraghavan S. ;   et al.
2017-03-23
Method And Structure To Fabricate Closely Packed Hybrid Nanowires At Scaled Pitch
App 20170076990 - Basker; Veeraraghavan S. ;   et al.
2017-03-16
Method and Structure to Fabricate Closely Packed Hybrid Nanowires at Scaled Pitch
App 20170077264 - Basker; Veeraraghavan S. ;   et al.
2017-03-16
Punch Through Stopper In Bulk Finfet Device
App 20170077268 - Basker; Veeraraghavan S. ;   et al.
2017-03-16
Method And Structure For Forming Finfet Cmos With Dual Doped Sti Regions
App 20170069631 - Basker; Veeraraghavan S. ;   et al.
2017-03-09
Method And Structure For Forming Finfet Cmos With Dual Doped Sti Regions
App 20170069541 - Basker; Veeraraghavan S. ;   et al.
2017-03-09
Semiconductor Device Including Dual Spacer And Uniform Epitaxial Buffer Interface Of Embedded Sige Source/drain
App 20170062614 - Basker; Veeraraghavan S. ;   et al.
2017-03-02
Finfet Devices Having Silicon Germanium Channel Fin Structures With Uniform Thickness
App 20160380058A1 -
2016-12-29
Finfet Devices
App 20160379892A1 -
2016-12-29
Nanowire Semiconductor Device Including Lateral-etch Barrier Region
App 20160380054A1 -
2016-12-29
Finfet Devices
App 20160379887A1 -
2016-12-29
Integrated Circuit Structure Manufacturing Methods Using Hard Mask And Photoresist Combination
App 20100330756A1 -
2010-12-30

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed