Polymer, Polymer Preparation Method, Resist Composition And Patterning Process

WATANABE; Takeru ;   et al.

Patent Application Summary

U.S. patent application number 12/411206 was filed with the patent office on 2009-10-01 for polymer, polymer preparation method, resist composition and patterning process. Invention is credited to Hirofumi Io, Takeshi Kinsho, Tomohiro Kobayashi, Yuichi Okawa, Tadahiro Sunaga, Takeru WATANABE.

Application Number20090246686 12/411206
Document ID /
Family ID41117788
Filed Date2009-10-01

United States Patent Application 20090246686
Kind Code A1
WATANABE; Takeru ;   et al. October 1, 2009

POLYMER, POLYMER PREPARATION METHOD, RESIST COMPOSITION AND PATTERNING PROCESS

Abstract

A polymer having a rate of dissolution in an alkaline developer that increases under the action of acid is provided. The polymer is prepared by reacting a hydrogenated ROMP polymer with an O-alkylating agent in the presence of a base.


Inventors: WATANABE; Takeru; (Joetsu-shi, JP) ; Kinsho; Takeshi; (Joetsu-shi, JP) ; Kobayashi; Tomohiro; (Joetsu-shi, JP) ; Sunaga; Tadahiro; (Sodegaura-shi, JP) ; Okawa; Yuichi; (Sodegaura-shi, JP) ; Io; Hirofumi; (Sodegaura-shi, JP)
Correspondence Address:
    BIRCH STEWART KOLASCH & BIRCH
    PO BOX 747
    FALLS CHURCH
    VA
    22040-0747
    US
Family ID: 41117788
Appl. No.: 12/411206
Filed: March 25, 2009

Current U.S. Class: 430/270.1 ; 430/326; 525/418
Current CPC Class: Y10S 430/115 20130101; G03F 7/0397 20130101; Y10S 430/143 20130101; C08F 283/01 20130101; Y10S 430/106 20130101; G03F 7/0395 20130101
Class at Publication: 430/270.1 ; 525/418; 430/326
International Class: G03F 7/004 20060101 G03F007/004; C08F 283/01 20060101 C08F283/01; G03F 7/20 20060101 G03F007/20

Foreign Application Data

Date Code Application Number
Mar 26, 2008 JP 2008-080605

Claims



1. A polymer having a rate of dissolution in an alkaline developer that increases under the action of acid, represented by the general formula [1]: ##STR00085## wherein a, b, c and d indicative of proportions of the respective recurring units relative to the overall number of recurring units are in the range: 0<a<1, 0.ltoreq.b<1, 0.ltoreq.c<1, 0.ltoreq.d<1, and a+b+c+d=1, j is each independently 0 or 1, k is 0 or 1, R.sup.1 is each independently hydrogen or methyl, R.sup.2 is hydrogen or may bond with R.sup.3 to form a ring with the carbon atoms or the carbon and oxygen atoms to which they are attached wherein R.sup.2 and R.sup.3 taken together denote a straight, branched or cyclic C.sub.1-C.sub.20 alkylene group which may have an oxygen functional group, R.sup.3 is a straight, branched or cyclic C.sub.1-C.sub.20 alkyl group which may have an oxygen functional group such as hydroxyl, ketone, ether, ester, lactone or acetal, R.sup.4 is each independently methylene or oxygen, and R.sup.5 is a C.sub.2-C.sub.20 acid labile group.

2. The polymer of claim 1 wherein in formula [1], the recurring units included at proportion "a" have a lactone structure.

3. The polymer of claim 1 wherein in formula [1], k=0.

4. The polymer of claim 1 wherein in formula [1], k=1 and R.sup.3 is a straight, branched or cyclic C.sub.1-C.sub.20 alkyl group having a hydroxyl group.

5. The polymer of claim 1 wherein in formula [1], k=1 and R.sup.3 is an acid labile group.

6. A method for preparing a polymer of formula [1] having a rate of dissolution in an alkaline developer that increases under the action of acid as set forth in claim 1, comprising reacting a hydrogenated ring-opening metathesis polymer having the general formula [2] with a compound having the general formula [3] in the presence of a base, ##STR00086## wherein b', c and d indicative of proportions of the respective recurring units relative to the overall number of recurring units are in the range: 0<b'<1, 0.ltoreq.c<1, 0.ltoreq.d<1, and b'+c+d=1, j is each independently 0 or 1, k is 0 or 1, R.sup.1 is each independently hydrogen or methyl, R.sup.2 is hydrogen or may bond with R.sup.3 to form a ring with the carbon atoms or the carbon and oxygen atoms to which they are attached wherein R.sup.2 and R.sup.3 taken together denote a straight, branched or cyclic C.sub.1-C.sub.20 alkylene group which may have an oxygen functional group, R.sup.3 is a straight, branched or cyclic C.sub.1-C.sub.20 alkyl group which may have an oxygen functional group such as hydroxyl, ketone, ether, ester, lactone or acetal, R.sup.4 is each independently methylene or oxygen, and R.sup.5 is a C.sub.2-C.sub.20 acid labile group, and X is a leaving group such as halogen.

7. A chemically amplified positive resist composition comprising the polymer of claim 1 as a base resin.

8. A chemically amplified positive resist composition comprising (A) the polymer of claim 1 as a base resin, (B) an acid generator, (C) an organic solvent, and optionally (D) a quencher and/or (E) a surfactant.

9. A process for forming a pattern, comprising the steps of (1) applying the resist composition of claim 7 or 8 onto a substrate, (2) heat treating and exposing to high-energy radiation having a wavelength up to 300 nm or electron beam through a photomask, (3) heat treating and developing with a developer.
Description



CROSS-REFERENCE TO RELATED APPLICATION

[0001] This non-provisional application claims priority under 35 U.S.C. .sctn.119(a) on Patent Application No. 2008-080605 filed in Japan on Mar. 26, 2008, the entire contents of which are hereby incorporated by reference.

TECHNICAL FIELD

[0002] This invention relates to a polymer having a rate of dissolution in an alkaline developer that increases under the action of acid, a method for preparing the polymer, a resist composition comprising the polymer as a base resin, suited for exposure to high-energy radiation of wavelength 300 nm or less (inclusive of excimer lasers), and a patterning process using the resist composition.

BACKGROUND ART

[0003] While there is a continuing demand for a finer pattern rule in the drive for higher integration and operating speeds in LSI devices, active efforts have been devoted to develop the microfabrication technology utilizing deep- and vacuum-ultraviolet lithography. In particular, the ArF excimer laser (193 nm) is widely acknowledged as a light source of next generation to the KrF excimer laser and used in photolithography processing advanced semiconductor devices of 90 nm node and forward. While poly-p-hydroxystyrene derivatives played a main role as the base resin in resist compositions of the KrF excimer laser generation, they are difficultly applicable to the photolithography using the ArF excimer laser as the light source because they are opaque to wavelength 193 nm. For the ArF excimer laser photolithography, it is the key factor to search for base resins having transparency.

[0004] Poly(meth)acrylic acid and derivatives thereof were considered attractive as the resins which are fully transparent at 193 nm and have relatively satisfactory development properties, but left a problem of dry etching resistance. For improving dry etching resistance, poly(meth)acrylate derivatives having alicyclic structures such as adamantane and norbornane structures incorporated in the pendant ester moiety were developed as described in JP-A 4-39665 and JP-A 5-257281. They became the main stream of development work.

[0005] These derivatives, however, are still insufficient in etching resistance. It is expected from the future pattern miniaturization trend that etching resistance will become a factor of more significance because formation of a thinner film of resist is essential to acquire a resolution.

[0006] Also proposed in the art are resins having an alicyclic structure as the backbone, for example, polynorbornene derivatives and alternating copolymers of polynorbornene derivatives and maleic anhydride. Although some have sufficient etching resistance, there remains unsolved the problem of poor resolution due to inferior development properties, i.e., swelling and low dissolution contrast during development.

[0007] Under these circumstances, a hydrogenated product of ring-opening metathesis polymerization (ROMP) polymer was proposed as meeting high levels of etching resistance and resolution (see JP-A 2002-202609). The hydrogenated ROMP polymer has superior etching resistance to the (meth)acrylate polymers due to an alicyclic skeleton in its backbone and also exhibits good development properties and hence good resolution probably due to a high mobility as compared with other alicyclic polymers. This polymer is thus quite advantageous as the base resin in ArF resist compositions.

[0008] Nevertheless, preparation of hydrogenated ROMP polymers requires a high precision level of polymerization and catalyst technology, from which several problems are raised. For example, high purity monomers which are indispensable to polymerization are difficult to prepare, and some monomers having certain functional groups are not susceptible to polymerization. Thus, the monomers which can be used in industrial polymerization are limited to certain structures. In addition, since customers currently have a wide diversity of demands, a wide variety of base resins are necessary to meet such demands. In the case of hydrogenated ROMP polymers, costly labors are needed in the manufacture of a wide variety of polymers because the overall preparation process is relatively long and includes steps each requiring a precise operation.

[0009] Citation List

[0010] Patent Document 1: JP-A 4-39665

[0011] Patent Document 2: JP-A 5-257281

[0012] Patent Document 3: JP-A 2002-202609 [0013] (U.S. Pat. No. 6,605,408, TW 548516)

SUMMARY OF INVENTION

[0014] An object of the invention is to provide a novel polymer, a method for preparing the polymer, a resist composition comprising the polymer which is suited for exposure to high-energy radiation, and a patterning process using the resist composition.

[0015] We have discovered that by reacting a hydrogenated ROMP polymer of specific structure which is amenable to industrial manufacture with a specific alkylating agent, a novel polymer can be efficiently prepared which meets all the above-discussed properties of polymers necessary for use as the base resin in resist compositions, specifically optical transmittance to UV and deep-UV (inclusive of excimer lasers), dissolution in alkaline developer, and etching resistance, and which permits some properties to be readily tailored as desired. The polymer is advantageously used as the base resin in resist compositions adapted for exposure to high-energy radiation. This discovery is surprising because polymers of analogous structure are very difficult to manufacture in an industrial scale by the conventional technique.

[0016] Accordingly, the invention provides a hydrogenated ROMP polymer having a rate of dissolution in an alkaline developer that increases under the action of acid, a method for preparing the polymer, a resist composition comprising the polymer which is suited for exposure to high-energy radiation, and a patterning process using the resist composition, as defined below.

[0017] In a first aspect, the invention provides a polymer having a rate of dissolution in an alkaline developer that increases under the action of acid, represented by the general formula [1].

##STR00001##

Herein a, b, c and d indicative of proportions of the respective recurring units relative to the overall number of recurring units are in the range: 0<a<1, 0.ltoreq.b<1, 0.ltoreq.c<1, 0.ltoreq.d<1, and a+b+c+d=1, j is each independently 0 or 1, k is 0 or 1, R.sup.1 is each independently hydrogen or methyl, R.sup.2 is hydrogen or may bond with R.sup.3 to form a ring with the carbon atoms or the carbon and oxygen atoms to which they are attached wherein R.sup.2 and R.sup.3 taken together denote a straight, branched or cyclic C.sub.1-C.sub.20 alkylene group which may have an oxygen functional group, R.sup.3 is a straight, branched or cyclic C.sub.1-C.sub.20 alkyl group which may have an oxygen functional group such as hydroxyl, ketone, ether, ester, lactone or acetal, R.sup.4 is each independently methylene or oxygen, and R.sup.5 is a C.sub.2-C.sub.20 acid labile group.

[0018] In formula [1], the recurring units included at proportion "a" have a lactone structure in one preferred embodiment; k=0 in another preferred embodiment; k=1 and R.sup.3 is a straight, branched or cyclic C.sub.1-C.sub.20 alkyl group having a hydroxyl group in a further preferred embodiment; k=1 and R.sup.3 is an acid labile group in a still further preferred embodiment.

[0019] In a second aspect, the invention provides a method for preparing a polymer of formula [1] having a rate of dissolution in an alkaline developer that increases under the action of acid as set forth in claim 1, comprising reacting a hydrogenated ring-opening metathesis polymer having the general formula [2] with a compound having the general formula [3] in the presence of a base.

##STR00002##

Herein b', c and d indicative of proportions of the respective recurring units relative to the overall number of recurring units are in the range: 0<b'<1, 0.ltoreq.c<1, 0.ltoreq.d<1, and b'+c+d=1, j is each independently 0 or 1, k is 0 or 1, R.sup.1 is each independently hydrogen or methyl, R.sup.2 is hydrogen or may bond with R.sup.3 to form a ring with the carbon atoms or the carbon and oxygen atoms to which they are attached wherein R.sup.2 and R.sup.3 taken together denote a straight, branched or cyclic C.sub.1-C.sub.20 alkylene group which may have an oxygen functional group, R.sup.3 is a straight, branched or cyclic C.sub.1-C.sub.20 alkyl group which may have an oxygen functional group such as hydroxyl, ketone, ether, ester, lactone or acetal, R.sup.4 is each independently methylene or oxygen, and R.sup.5 is a C.sub.2-C.sub.20 acid labile group, and X is a leaving group such as halogen.

[0020] In a third aspect, the invention provides a chemically amplified positive resist composition comprising the polymer defined above as a base resin, and more specifically, a chemically amplified positive resist composition comprising (A) the polymer defined above as a base resin, (B) an acid generator, (C) an organic solvent, and optionally (D) a quencher and/or (E) a surfactant.

[0021] In a fourth aspect, the invention provides a process for forming a pattern, comprising the steps of (1) applying the resist composition onto a substrate to form a coating, (2) heat treating and exposing the coating to high-energy radiation having a wavelength up to 300 nm or electron beam through a photomask, (3) heat treating and developing the exposed coating with a developer.

ADVANTAGEOUS EFFECTS OF INVENTION

[0022] The novel hydrogenated ROMP polymer of the invention can be efficiently prepared by reacting a hydrogenated ROMP polymer of specific structure which is amenable to industrial manufacture with a specific alkylating agent. The polymer meets all the properties necessary for the base resin in resist compositions, specifically optical transmittance to UV and DUV (inclusive of excimer lasers), dissolution in alkaline developer, and etching resistance, and permits some properties to be readily tailored as desired. A method for preparing the polymer, a resist composition comprising the polymer which is suited for exposure to high-energy radiation, and a patterning process using the resist composition are provided as well.

BRIEF DESCRIPTION OF DRAWING

[0023] The only figure, FIG. 1 is a diagram showing the .sup.1H-NMR spectrum (600 MHz, deuterated THF) of the polymer obtained in Example 1.

DESCRIPTION OF EMBODIMENTS

[0024] As used herein, the singular forms "a," "an" and "the" include plural referents unless the context clearly dictates otherwise. The notation (Cn-Cm) means a group containing from n to m carbon atoms per group. ROMP denotes ring-opening metathesis polymerization.

[0025] While a certain compound is herein represented by a chemical formula, many compounds have a chemical structure for which there can exist enantiomers or diastereomers. Each chemical formula collectively represents all such stereoisomers whether it is either a planar or stereostructural formula. Such stereoisomers may be used alone or in admixture.

Polymer

[0026] The invention provides a hydrogenated ROMP polymer comprising structural units [A] having the general formula [4] and optionally, one or more of structural units [B] having the general formula [5], structural units [C] having the general formula [6], and structural units [D] having the general formula [7].

[0027] The structural units [A] have the general formula [4].

##STR00003##

[0028] In formula [4], j and k each are 0 or 1. R.sup.1 is hydrogen or methyl. R.sup.2 is hydrogen or may bond with R.sup.3 to form a ring with the carbon atoms or the carbon and oxygen atoms to which they are attached wherein R.sup.2 and R.sup.3 taken together denote a straight, branched or cyclic C.sub.1-C.sub.20 alkylene group which may have an oxygen functional group. R.sup.3 is a straight, branched or cyclic C.sub.1-C.sub.20 alkyl group which may have an oxygen functional group such as hydroxyl, ketone, ether, ester, lactone or acetal. R.sup.4 is methylene or oxygen. Suitable examples of structural units [A] having formula [4] will be illustrated later.

[0029] The structural units [B] have the general formula [5].

##STR00004##

[0030] In formula [5], j is 0 or 1. R.sup.1 is hydrogen or methyl. R.sup.4 is methylene or oxygen. It is believed that structural units [B] largely contribute to developer affinity, substrate adhesion, and control of acid diffusion length in the inventive resist composition. Specific examples of structural units [B] having formula [5] are illustrated below.

##STR00005##

[0031] The structural units [C] have the general formula [6].

##STR00006##

[0032] In formula [6], j is 0 or 1. R.sup.4 is methylene or oxygen. It is believed that structural units [C] largely contribute to substrate adhesion and control of acid diffusion length in the inventive resist composition. Specific examples of structural units [C] having formula [6] are illustrated below.

##STR00007##

[0033] The structural units [D] have the general formula [7].

##STR00008##

[0034] In formula [7], j is 0 or 1. R.sup.1 is hydrogen or methyl. R.sup.4 is methylene or oxygen. R.sup.5 is a C.sub.2-C.sub.20 acid labile group. Structural units [D] contain an acid labile group, that is, a group which is decomposable with the acid generated by the acid generator upon exposure, to generate a carboxylic acid, and contribute to resist pattern formation via development with aqueous alkaline solution. Specific examples of structural units [D] having formula [7] are illustrated below.

##STR00009##

[0035] In the foregoing formulae, R.sup.5 is a C.sub.2-C.sub.20 acid labile group which is exemplified by the following structural formulae, but not limited thereto. As used herein and throughout the specification, the broken line denotes a bonding site, Me denotes methyl, and Et denotes ethyl.

##STR00010## ##STR00011## ##STR00012##

[0036] By virtue of structural units [A] of formula [4] introduced therein, the polymer meets all the properties necessary as the base resin in resist compositions and allows some properties to be tailored as desired. Preferred examples of structural units [A] include structural units [A-1] to [A-4], [0037] [A-1]: structural units [A] of formula [4] having a lactone structure, [0038] [A-2]: structural units [A] of formula [4] wherein k=0, [0039] [A-3]: structural units [A] of formula [4] wherein k=1 and R.sup.3 is a hydroxyl-containing straight, branched or cyclic C.sub.1-C.sub.20 alkyl group, and [0040] [A-4]: structural units [A] of formula [4] wherein k=1 and R.sup.3 is an acid labile group.

[0041] As used herein, the "acid labile group" is a technical term generally used in the resist-related art and refers to a group which is deprotected under the action of acid. That is, the acid labile group refers to a protective group for a functional group such as carboxyl or hydroxyl, which may be decomposed or deprotected under the action of acid through elimination reaction, hydrolysis reaction, or substitution reaction.

[0042] When R.sup.3 denotes an acid labile group, it is a group functioning as an acid labile group selected from the groups defined as R.sup.3.

[0043] The introduction of structural units [A-1] into a polymer may lead to advantages, for example, reduced risk of fine line pattern collapsing and improved maximum resolution. Examples of structural units [A-1] are given below, but not limited thereto.

##STR00013## ##STR00014## ##STR00015##

[0044] The introduction of structural units [A-2] into a polymer may lead to advantages, for example, clearer definition of spaces in a resist pattern and improved resolution. In some cases, the polymer may be improved in solvent solubility. Examples of structural units [A-2] are given below, but not limited thereto.

##STR00016## ##STR00017##

[0045] The introduction of structural units [A-3] into a polymer may lead to advantages, for example, improved exposure latitude. Examples of structural units [A-3] are given below, but not limited thereto.

##STR00018## ##STR00019##

[0046] The introduction of structural units [A-4] into a polymer may lead to advantages, for example, improved line edge roughness (LER). When structural units [A-4] are included together with units [D], acid labile groups of different reactivity can be assigned to units [A-4] and [D], respectively. This advantageously increases the freedom of polymer design in terms of decomposition upon exposure, control of developing properties, control of thermal properties, and solvent solubility. Examples of structural units [A-4] are given below, but not limited thereto. In the formulae, R.sup.6 denotes an acid labile group.

##STR00020##

[0047] The acid labile group of R.sup.6 is exemplified below, but not limited thereto.

##STR00021## ##STR00022## ##STR00023##

[0048] In formula [1], a, b, c and d indicative of proportions of the respective recurring units relative to the overall number of recurring units are in the range: 0<a<1, 0.ltoreq.b<1, 0.ltoreq.c<1, 0.ltoreq.d<1, and a+b+c+d=1. That is, the inclusion of at least structural units [A] of formula [4] is essential. Preferably a, b, c and d are in the range: 0<a.ltoreq.0.6, 0.ltoreq.b.ltoreq.0.3, 0.ltoreq.c.ltoreq.0.7, 0.ltoreq.d.ltoreq.0.7, and more preferably 0<a.ltoreq.0.5, 0<b.ltoreq.0.2, 0.ltoreq.c.ltoreq.0.6, 0.ltoreq.d.ltoreq.0.6.

[0049] In the polymer, each of structural units [A] to [D] may be units of one type, or either one or more or even all of structural units [A] to [D] may consist of units of more than one type. It is preferred that among a plurality of R.sup.4 in formulae [4] to [7] corresponding to all these structural units [A] to [D], at least one R.sup.4 be an oxygen atom. The inclusion of an oxygen atom in the backbone-forming alicyclic compound is not only effective for improving the adhesion of the polymer to silicon or other substrates to be coated therewith, wetting tension upon development with an aqueous alkaline solution, and the solubility of the polymer in polar organic solvents (e.g., ketones and alcohols) used in the step of applying the resist composition to silicon wafers, but also effective for improving affinity to water and development with a stripping agent or developer (e.g., aqueous alkaline solution) following exposure. A proportion of oxygen as R.sup.4 is 0 to 99 mol %, preferably 2 to 95 mol %, more preferably 5 to 80 mol %, and most preferably 10 to 70 mol %, based on the overall structural units.

[0050] The hydrogenated ROMP polymers typically have a weight average molecular weight (Mw) of 500 to 200,000, preferably 2,000 to 200,000, and more preferably 3,000 to 30,000. Preferably the polymers have a dispersity (Mw/Mn) of 1.0 to 5.0. It is noted that the weight average molecular weight (Mw) and number average molecular weight (Mn) of a polymer sample are determined by gel permeation chromatography (GPC) using a solvent capable of dissolving the sample, a column capable of separating the sample, and polystyrene standards. The dispersity, also known as molecular weight distribution, is defined as weight average molecular weight (Mw) divided by number average molecular weight (Mn), i.e., Mw/Mn.

[0051] The polymer having a rate of dissolution in an alkaline developer that increases under the action of acid, represented by the general formula [1], can be efficiently prepared by reacting a hydrogenated ROMP polymer having the general formula [2] with a compound having the general formula [3] in the presence of a base.

##STR00024##

[0052] In formulae [2] and [3], b', c and d indicative of proportions of the respective recurring units relative to the overall number of recurring units are in the range: 0<b'<1, 0.ltoreq.c<1, 0.ltoreq.d<1, and b'+c+d=1. These subscripts are preferably in the range: 0<b'.ltoreq.0.9, 0.ltoreq.c.ltoreq.0.7, 0.ltoreq.d.ltoreq.0.7, and more preferably 0<b'.ltoreq.0.7, 0.ltoreq.c.ltoreq.0.6, 0.ltoreq.d.ltoreq.0.6. The subscript j is each independently 0 or 1, and k is 0 or 1. R.sup.1 is each independently hydrogen or methyl. R.sup.2 is hydrogen or may bond with R.sup.3 to form a ring with the carbon atoms or the carbon and oxygen atoms to which they are attached. In the latter case, R.sup.2 and R.sup.3 taken together denote a straight, branched or cyclic C.sub.1-C.sub.20 alkylene group which may have an oxygen functional group. R.sup.3 is a straight, branched or cyclic C.sub.1-C.sub.20 alkyl group which may have an oxygen functional group such as hydroxyl, ketone, ether, ester, lactone or acetal. R.sup.4 is each independently methylene or oxygen. R.sup.5 is a C.sub.2-C.sub.20 acid labile group. X is a leaving group such as halogen (e.g., chloro, bromo, iodo), alkanesulfonyloxy or arenesulfonyloxy.

[0053] The recurring units included in a proportion b' in formula [2] correspond to structural units [B], with their examples being as exemplified above. The recurring units included in a proportion c in formula [2] correspond to structural units [C], with their examples being as exemplified above. The recurring units,included in a proportion d in formula [2] correspond to structural units [D], with their examples being as exemplified above.

[0054] Examples of the compound having formula [3] are given below, but not limited thereto. In the formulae, R.sup.6 is an acid labile group, examples of which are as exemplified above.

##STR00025## ##STR00026##

[0055] The hydrogenated ROMP polymer having formula [2] may be prepared, for example, by providing cyclic olefin monomers corresponding to structural units [B] of formula [5], structural units [C] of formula [6], and structural units [D] of formula [7], polymerizing the monomers in the presence of a ring-opening metathesis catalyst, and hydrogenating in the presence of a hydrogenation catalyst.

[0056] The method of preparing the hydrogenated ROMP polymer having formula [2] is not particularly limited. For example, polymerization and hydrogenation may be carried out by the techniques described in JP-A 2001-354756 and JP Appln. No. 2007-272193 (U.S. Ser. No. 12/252,123). In the polymerization reaction, any suitable catalyst may be used as long as it helps ring-opening metathesis polymerization of the foregoing cyclic olefin monomers. In the hydrogenation reaction, any suitable catalyst may be used as long as it helps hydrogenation on polymers resulting from the ring-opening metathesis polymerization. The hydrogenated ROMP polymers having formula [2] are obtained by producing ROMP polymers through the polymerization of cyclic olefin monomers in the presence of a ROMP catalyst, and hydrogenating the ROMP polymers under hydrogen pressure in a solvent in the presence of a hydrogenation catalyst. If desired, the hydrogenated ROMP polymers thus obtained may be subjected to acid treatment whereby some or all acid labile groups are deprotected to generate carboxylic acid.

[0057] The polymer having formula [1] may be prepared, as shown by the following reaction scheme, by causing an alkylating agent having formula [3] and a base to act on the hydrogenated ROMP polymer having formula [2] in a solvent for effecting O-alkylating reaction.

##STR00027##

Herein, a, b, b', c, d, j, k, R.sup.1 to R.sup.5, and X are as defined above.

[0058] In the O-alkylating reaction, the alkylating agent [3] is preferably used in an amount of 1.0 to 2.0 moles, more preferably 1.0 to 1.3 moles provided that the desired amount of the agent introduced is 1 mole. The solvent used herein is not particularly limited as long as the polymers having formulae [1] and [2] can be dissolved therein. Preferred examples of the solvent include hydrocarbons such as toluene; ethers such as diethylene glycol diethyl ether, diethylene glycol dimethyl ether, and tetrahydrofuran; ketones such as acetone, 2-butanone, cyclohexanone, and 4-methyl-2-pentanone; alcohols such as diethylene glycol monomethyl ether and propylene glycol monomethyl ether; esters such as ethyl acetate, propylene glycol monomethyl ether acetate (PGMEA), and .gamma.-butyrolactone; and aprotic polar solvents such as N,N-dimethylformamide, N,N-dimethylacetamide, dimethyl sulfoxide and N-methylpyrrolidone, alone or in admixture. Suitable bases used herein include metal hydroxides such as sodium hydroxide and potassium hydroxide; metal alkoxides such as sodium methoxide and potassium t-butoxide; organometallic compounds such as butyllithium and ethylmagnesium bromide; metal salts such as potassium carbonate and sodium carbonate; and organic bases such as pyridine, triethylamine and diisopropylethylamine, alone or in admixture. The base is preferably used in an amount of 0.8 to 5.0 moles, and more preferably 0.9 to 2.0 moles per mole of alkylating agent [3]. The O-alkylating reaction runs preferably at a temperature from -20.degree. C. to around the boiling point of the solvent used although an appropriate temperature may be selected depending on other reaction conditions. The time of O-alkylating reaction generally varies from about 2 hours to about 100 hours although it is recommended to carry out a preliminary experiment to estimate a time necessary to achieve the desired rate of introduction. The reaction is followed by standard aqueous work-up to remove the salt resulting from the reaction, obtaining the desired polymer [1]. If necessary, the polymer [1] may be purified by a standard technique such as re-precipitation or liquid separation.

[0059] In an alternative method of preparing the polymer [1], a monomer corresponding to structural units [A] may be introduced from the first through polymerization reaction. However, the foregoing method is most preferred because structural units [A] can be introduced in any desired proportion and at an acceptable cost.

Resist Composition

[0060] The resist composition comprising the hydrogenated ROMP polymer of the specific structure according to the invention as a base resin is useful as a positive working resist composition, especially chemically amplified positive working resist composition. In addition to the hydrogenated ROMP polymer as a base resin, the composition contains essentially (B) a compound capable of generating an acid in response to high-energy radiation or electron beam (known as acid generator) and (C) an organic solvent and optionally, (D) a compound capable of suppressing acid diffusion within a resist film (known as quencher) and (E) a surfactant.

[0061] Acid Generator

[0062] In the resist composition of the invention, an acid generator is generally included. A typical acid generator is a photoacid generator (PAG) which may be any compound capable of generating an acid in response to high-energy radiation such as UV, deep-UV, electron beam, X-ray, excimer laser, .gamma.-ray and synchrotron radiation. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxydicarboximide, O-arylsulfonyloxime and O-alkylsulfonyloxime acid generators. Exemplary photoacid generators are given below while they may be used alone or in admixture of two or more.

[0063] Sulfonium salts are salts of sulfonium cations with sulfonates, bis(substituted alkylsulfonyl)imides and tris(substituted alkylsulfonyl)methides. Exemplary sulfonium cations include triphenylsulfonium, (4-tert-butoxyphenyl)diphenylsulfonium, bis(4-tert-butoxyphenyl)phenylsulfonium, tris(4-tert-butoxyphenyl)sulfonium, (3-tert-butoxyphenyl)diphenylsulfonium, bis(3-tert-butoxyphenyl)phenylsulfonium, tris(3-tert-butoxyphenyl)sulfonium, (3,4-di-tert-butoxyphenyl)diphenylsulfonium, bis(3,4-di-tert-butoxyphenyl)phenylsulfonium, tris(3,4-di-tert-butoxyphenyl)sulfonium, diphenyl(4-thiophenoxyphenyl)sulfonium, (4-tert-butoxycarbonylmethyloxyphenyl)diphenylsulfonium, tris(4-tert-butoxycarbonylmethyloxyphenyl)sulfonium, (4-tert-butoxyphenyl)bis(4-dimethylaminophenyl)sulfonium, tris(4-dimethylaminophenyl)sulfonium, 4-methylphenyldiphenylsulfonium, 4-tert-butylphenylsulfonium, bis(4-methylphenyl)phenylsulfonium, bis(4-tert-butylphenyl)phenylsulfonium, tris(4-methylphenyl)sulfonium, tris(4-tert-butylphenyl)sulfonium, tris(phenylmethyl)sulfonium, 2-naphthyldiphenylsulfonium, dimethyl(2-naphthyl)sulfonium, 4-hydroxyphenyldimethylsulfonium, 4-methoxyphenyldimethylsulfonium, trimethylsulfonium, 2-oxocyclohexylcyclohexylmethylsulfonium, trinaphthylsulfonium, tribenzylsulfonium, diphenylmethylsulfonium, dimethylphenylsulfonium, 2-oxopropylthiacyclopentanium, 2-oxobutylthiacyclopentanium, 2-oxo-3,3-dimethylbutylthiacyclopentanium, 2-oxo-2-phenylethylthiacyclopentanium, 4-n-butoxynaphthyl-1-thiacyclopentanium, and 2-n-butoxynaphthyl-1-thiacyclopentanium. Exemplary sulfonates include trifluoromethanesulfonate, pentafluoroethanesulfonate, heptafluoropropanesulfonate, nonafluorobutanesulfonate, tridecafluorohexanesulfonate, perfluoro(4-ethylcyclohexane)sulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-(trifluoromethyl)benzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, 4-(p-toluenesulfonyloxy)benzenesulfonate, 6-(p-toluenesulfonyloxy)naphthalene-2-sulfonate, 4-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, 5-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, 8-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, naphthalenesulfonate, camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 1,1-difluoro-2-naphthylethanesulfonate, 1,1,2,2-tetrafluoro-2-(norbornan-2-yl)ethanesulfonate, 1,1,2,2-tetrafluoro-2-(tetracyclo[4.4.0.1.sup.2,5.1.sup.7,10]dodec-3-en-8- -yl)ethanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-(4-phenylbenzoyloxy)propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2-(4-tert-butylbenzoyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, 1,1-difluoro-2-tosyloxyethanesulfonate, adamantanemethoxycarbonyldifluoromethanesulfonate, 1-(3-hydroxymethyladamantane)methoxycarbonyldifluoromethane-sulfonate, methoxycarbonyldifluoromethanesulfonate, 1-(hexahydro-2-oxo-3,5-methano-2H-cyclopenta[b]furan-6-yloxy-carbonyl)dif- luoromethanesulfonate, and 4-oxo-1-adamantyloxycarbonyldifluoromethanesulfonate. Exemplary bis(substituted alkylsulfonyl)imides include bis(trifluoromethylsulfonyl)imide, bis(pentafluoroethylsulfonyl)imide, bis(heptafluoropropylsulfonyl)imide, and perfluoro(1,3-propylenebissulfonyl)imide. A typical tris(substituted alkylsulfonyl)methide is tris(trifluoromethylsulfonyl)methide. Sulfonium salts based on combination of the foregoing examples are included.

[0064] Iodonium salts are salts of iodonium cations with sulfonates, bis(substituted alkylsulfonyl)imides and tris(substituted alkylsulfonyl)methides. Exemplary iodonium cations include diphenyliodinium, bis(4-tert-butylphenyl)iodonium, 4-tert-butoxyphenylphenyliodonium, and 4-methoxyphenylphenyliodonium. Exemplary sulfonates include trifluoromethanesulfonate, pentafluoroethanesulfonate, heptafluoropropanesulfonate, nonafluorobutanesulfonate, tridecafluorohexanesulfonate, perfluoro(4-ethylcyclohexane)sulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-(trifluoromethyl)benzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, 4-(p-toluenesulfonyloxy)benzenesulfonate, 6-(p-toluenesulfonyloxy)naphthalene-2-sulfonate, 4-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, 5-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, 8-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, naphthalenesulfonate, camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 1,1-difluoro-2-naphthylethanesulfonate, 1,1,2,2-tetrafluoro-2-(norbornan-2-yl)ethanesulfonate, 1,1,2,2-tetrafluoro-2-(tetracyclo[4.4.0.1.sup.2,5.1.sup.7,10]dodec-3-en-8- -yl)ethanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-(4-phenylbenzoyloxy)propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2-(4-tert-butylbenzoyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, 1,1-difluoro-2-tosyloxyethanesulfonate, adamantanemethoxycarbonyldifluoromethanesulfonate, 1-(3-hydroxymethyladamantane)methoxycarbonyldifluoromethane-sulfonate, methoxycarbonyldifluoromethanesulfonate, 1-(hexahydro-2-oxo-3,5-methano-2H-cyclopenta[b]furan-6-yloxy-carbonyl)dif- luoromethanesulfonate, and 4-oxo-1-adamantyloxycarbonyldifluoromethanesulfonate. Exemplary bis(substituted alkylsulfonyl)imides include bis(trifluoromethylsulfonyl)imide, bis(pentafluoroethylsulfonyl)imide, bis(heptafluoropropylsulfonyl)imide, and perfluoro(1,3-propylenebissulfonyl)imide. A typical tris(substituted alkylsulfonyl)methide is tris(trifluoromethylsulfonyl)methide. Iodonium salts based on combination of the foregoing examples are included.

[0065] Exemplary sulfonyldiazomethane compounds include bissulfonyldiazomethane compounds and sulfonyl-carbonyldiazomethane compounds such as bis(ethylsulfonyl)diazomethane, bis(1-methylpropylsulfonyl)diazomethane, bis(2-methylpropylsulfonyl)diazomethane, bis(1,1-dimethylethylsulfonyl)diazomethane, bis(cyclohexylsulfonyl)diazomethane, bis(perfluoroisopropylsulfonyl)diazomethane, bis(phenylsulfonyl)diazomethane, bis(4-methylphenylsulfonyl)diazomethane, bis(2,4-dimethylphenylsulfonyl)diazomethane, bis(4-acetyloxyphenylsulfonyl)diazomethane, bis(4-(methanesulfonyloxy)phenylsulfonyl)diazomethane, bis(4-(p-toluenesulfonyloxy)phenylsulfonyl)diazomethane, bis(4-(n-hexyloxy)phenylsulfonyl)diazomethane, bis(2-methyl-4-(n-hexyloxy)phenylsulfonyl)diazomethane, bis(2,5-dimethyl-4-(n-hexyloxy)phenylsulfonyl)diazomethane, bis(3,5-dimethyl-4-(n-hexyloxy)phenylsulfonyl)diazomethane, bis(2-methyl-5-isopropyl-4-(n-hexyloxy)phenylsulfonyl)diazo-methane, bis(2-naphthylsulfonyl)diazomethane, 4-methylphenylsulfonylbenzoyldiazomethane, tert-butylcarbonyl-4-methylphenylsulfonyldiazomethane, 2-naphthylsulfonylbenzoyldiazomethane, 4-methylphenylsulfonyl-2-naphthoyldiazomethane, methylsulfonylbenzoyldiazomethane, and tert-butoxycarbonyl-4-methylphenylsulfonyldiazomethane.

[0066] N-sulfonyloxydicarboximide photoacid generators include combinations of imide skeletons with sulfonates. Exemplary imide skeletons are succinimide, naphthalenedicarboximide, phthalimide, cyclohexyldicarboximide, 5-norbornene-2,3-dicarboximide, and 7-oxabicyclo[2.2.1]-5-heptene-2,3-dicarboximide. Exemplary sulfonates include trifluoromethanesulfonate, pentafluoroethanesulfonate, heptafluoropropanesulfonate, nonafluorobutanesulfonate, tridecafluorohexanesulfonate, perfluoro(4-ethylcyclohexane)sulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-(trifluoromethyl)benzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, 4-(p-toluenesulfonyloxy)benzenesulfonate, 6-(p-toluenesulfonyloxy)naphthalene-2-sulfonate, 4-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, 5-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, 8-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, naphthalenesulfonate, camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 1,1-difluoro-2-naphthylethanesulfonate, 1,1,2,2-tetrafluoro-2-(norbornan-2-yl)ethanesulfonate, 1,1,2,2-tetrafluoro-2-(tetracyclo[4.4.0.1.sup.2,5.1.sup.7,10]dodec-3-en-8- -yl)ethanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-(4-phenylbenzoyloxy)propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2-(4-tert-butylbenzoyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, 1,1-difluoro-2-tosyloxyethanesulfonate, adamantanemethoxycarbonyldifluoromethanesulfonate, 1-(3-hydroxymethyladamantane)methoxycarbonyldifluoromethane-sulfonate, methoxycarbonyldifluoromethanesulfonate, 1-(hexahydro-2-oxo-3,5-methano-2H-cyclopenta[b]furan-6-yloxy-carbonyl)dif- luoromethanesulfonate, and 4-oxo-1-adamantyloxycarbonyldifluoromethanesulfonate.

[0067] Benzoinsulfonate photoacid generators include benzoin tosylate, benzoin mesylate, and benzoin butanesulfonate.

[0068] Pyrogallol trisulfonate photoacid generators include pyrogallol, phloroglucinol, catechol, resorcinol, and hydroquinone, in which all the hydroxyl groups are substituted by trifluoromethanesulfonate, pentafluoroethanesulfonate, heptafluoropropanesulfonate, nonafluorobutanesulfonate, tridecafluorohexanesulfonate, perfluoro(4-ethylcyclohexane)sulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-(trifluoromethyl)benzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, 4-(p-toluenesulfonyloxy)benzenesulfonate, 6-(p-toluenesulfonyloxy)naphthalene-2-sulfonate, 4-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, 5-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, 8-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, naphthalenesulfonate, camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 1,1-difluoro-2-naphthylethanesulfonate, 1,1,2,2-tetrafluoro-2-(norbornan-2-yl)ethanesulfonate, 1,1,2,2-tetrafluoro-2-(tetracyclo[4.4.0.1.sup.2,5.1.sup.7,10]dodec-3-en-8- -yl)ethanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-(4-phenylbenzoyloxy)propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2-(4-tert-butylbenzoyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, 1,1-difluoro-2-tosyloxyethanesulfonate, adamantanemethoxycarbonyldifluoromethanesulfonate, 1-(3-hydroxymethyladamantane)methoxycarbonyldifluoromethane-sulfonate, methoxycarbonyldifluoromethanesulfonate, 1-(hexahydro-2-oxo-3,5-methano-2H-cyclopenta[b]furan-6-yloxy-carbonyl)dif- luoromethanesulfonate, and 4-oxo-1-adamantyloxycarbonyldifluoromethanesulfonate.

[0069] Nitrobenzyl sulfonate photoacid generators include 2,4-dinitrobenzyl sulfonate, 2-nitrobenzyl sulfonate, and 2,6-dinitrobenzyl sulfonate, with exemplary sulfonates including trifluoromethanesulfonate, pentafluoroethanesulfonate, heptafluoropropanesulfonate, nonafluorobutanesulfonate, tridecafluorohexanesulfonate, perfluoro(4-ethylcyclohexane)sulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-(trifluoromethyl)benzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, 4-(p-toluenesulfonyloxy)benzenesulfonate, 6-(p-toluenesulfonyloxy)naphthalene-2-sulfonate, 4-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, 5-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, 8-(p-toluenesulfonyloxy)naphthalene-1-sulfonate, naphthalenesulfonate, camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 1,1-difluoro-2-naphthylethanesulfonate, 1,1,2,2-tetrafluoro-2-(norbornan-2-yl)ethanesulfonate, 1,1,2,2-tetrafluoro-2-(tetracyclo[4.4.0.1.sup.2,5.1.sup.7,10]dodec-3-en-8- -yl)ethanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-(4-phenylbenzoyloxy)propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2-(4-tert-butylbenzoyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, 1,1-difluoro-2-tosyloxyethanesulfonate, adamantanemethoxycarbonyldifluoromethanesulfonate, 1-(3-hydroxymethyladamantane)methoxycarbonyldifluoromethane-sulfonate, methoxycarbonyldifluoromethanesulfonate, 1-(hexahydro-2-oxo-3,5-methano-2H-cyclopenta[b]furan-6-yloxy-carbonyl)dif- luoromethanesulfonate, and 4-oxo-1-adamantyloxycarbonyldifluoromethanesulfonate. Also useful are analogous nitrobenzyl sulfonate compounds in which the nitro group on the benzyl side is substituted by a trifluoromethyl group.

[0070] Sulfone photoacid generators include [0071] bis(phenylsulfonyl)methane, [0072] bis(4-methylphenylsulfonyl)methane, [0073] bis(2-naphthylsulfonyl)methane, [0074] 2,2-bis(phenylsulfonyl)propane, [0075] 2,2-bis(4-methylphenylsulfonyl)propane, [0076] 2,2-bis(2-naphthylsulfonyl)propane, [0077] 2-methyl-2-(p-toluenesulfonyl)propiophenone, [0078] 2-cyclohexylcarbonyl-2-(p-toluenesulfonyl)propane, and [0079] 2,4-dimethyl-2-(p-toluenesulfonyl)pentan-3-one.

[0080] Suitable O-arylsulfonyloxime compounds and O-alkylsulfonyloxime compounds (oxime sulfonates) include photoacid generators in the form of glyoxime derivatives; photoacid generators in the form of oxime sulfonates with a long conjugated system separated by thiophene or cyclohexadiene; oxime sulfonates having an electron withdrawing group such as trifluoromethyl incorporated for increased stability; oxime sulfonates using phenylacetonitrile or substituted acetonitrile derivatives; and bisoxime sulfonates.

[0081] Photoacid generators in the form of glyoxime derivatives include bis-O-(p-toluenesulfonyl)-.alpha.-dimethylglyoxime, bis-O-(p-toluenesulfonyl)-.alpha.-diphenylglyoxime, bis-O-(p-toluenesulfonyl)-.alpha.-dicyclohexylglyoxime, bis-O-(p-toluenesulfonyl)-2,3-pentanedionedioxime, bis-O-(n-butanesulfonyl)-.alpha.-dimethylglyoxime, bis-O-(n-butanesulfonyl)-.alpha.-diphenylglyoxime, bis-O-(n-butanesulfonyl)-.alpha.-dicyclohexylglyoxime, bis-O-(methanesulfonyl)-.alpha.-dimethylglyoxime, bis-O-(trifluoromethanesulfonyl)-.alpha.-dimethylglyoxime, bis-O-(2,2,2-trifluoroethanesulfonyl)-.alpha.-dimethylglyoxime, bis-O-(10-camphorsulfonyl)-.alpha.-dimethylglyoxime, bis-O-(benzenesulfonyl)-.alpha.-dimethylglyoxime, bis-O-(4-fluorobenzenesulfonyl)-.alpha.-dimethylglyoxime, bis-O-(4-trifluoromethylbenzenesulfonyl)-.alpha.-dimethylglyoxime, bis-O-(xylenesulfonyl)-.alpha.-dimethylglyoxime, bis-O-(trifluoromethanesulfonyl)-nioxime, bis-O-(2,2,2-trifluoroethanesulfonyl)-nioxime, bis-O-(10-camphorsulfonyl)-nioxime, bis-O-(benzenesulfonyl)-nioxime, bis-O-(4-fluorobenzenesulfonyl)-nioxime, bis-O-(4-(trifluoromethyl)benzenesulfonyl)-nioxime, and bis-O-(xylenesulfonyl)-nioxime. Also included are modified forms of the foregoing compounds having substituted on their skeleton 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-(4-phenylbenzoyloxy)propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2-(4-tert-butylbenzoyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, 1,1-difluoro-2-tosyloxyethanesulfonate, adamantanemethoxycarbonyldifluoromethanesulfonate, 1-(3-hydroxymethyladamantane)methoxycarbonyldifluoromethane-sulfonate, methoxycarbonyldifluoromethanesulfonate, 1-(hexahydro-2-oxo-3,5-methano-2H-cyclopenta[b]furan-6-yloxy-carbonyl)dif- luoromethanesulfonate, and 4-oxo-1-adamantyloxycarbonyldifluoromethanesulfonate.

[0082] Photoacid generators in the form of oxime sulfonates with a long conjugated system separated by thiophene or cyclohexadiene include [0083] (5-(p-toluenesulfonyl)oxyimino-5H-thiophen-2-ylidene)phenyl-acetonitrile, [0084] (5-(10-camphorsulfonyl)oxyimino-5H-thiophen-2-ylidene)phenyl-aceto- nitrile, [0085] (5-n-octanesulfonyloxyimino-5H-thiophen-2-ylidene)phenyl-acetonitrile, [0086] (5-(p-toluenesulfonyl)oxyimino-5H-thiophen-2-ylidene)(2-methylphen- yl)acetonitrile, [0087] (5-(10-camphorsulfonyl)oxyimino-5H-thiophen-2-ylidene)(2-methylphenyl)ace- tonitrile, [0088] (5-n-octanesulfonyloxyimino-5H-thiophen-2-ylidene)(2-methyl-phenyl)aceton- itrile, [0089] (5-(4-(p-toluenesulfonyloxy)benzenesulfonyl)oxyimino-5H-thiophen-2-yliden- e)phenylacetonitrile, and [0090] (5-(2,5-bis(p-toluenesulfonyloxy)benzenesulfonyl)oxyimino-5H-thiophen-2-y- lidene)phenylacetonitrile.

[0091] Also included are modified forms of the foregoing compounds having substituted on their skeleton [0092] 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0093] 1,1,3,3,3-pentafluoro-2-(4-phenylbenzoyloxy)propanesulfonate, [0094] 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, [0095] 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0096] 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, [0097] 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0098] 2-(4-tert-butylbenzoyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, [0099] 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, [0100] 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0101] 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, [0102] 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, [0103] 1,1-difluoro-2-tosyloxyethanesulfonate, [0104] adamantanemethoxycarbonyldifluoromethanesulfonate, [0105] 1-(3-hydroxymethyladamantane)methoxycarbonyldifluoromethane-sulfonate, [0106] methoxycarbonyldifluoromethanesulfonate, [0107] 1-(hexahydro-2-oxo-3,5-methano-2H-cyclopenta[b]furan-6-yloxy-carbonyl)dif- luoromethanesulfonate, and [0108] 4-oxo-1-adamantyloxycarbonyldifluoromethanesulfonate.

[0109] Suitable oxime sulfonates having an electron withdrawing group such as trifluoromethyl incorporated for increased stability include 2,2,2-trifluoro-1-phenyl-ethanone O-(methylsulfonyl)oxime, 2,2,2-trifluoro-1-phenyl-ethanone O-(10-camphorsulfonyl)oxime, 2,2,2-trifluoro-1-phenylethanone O-(4-methoxybenzenesulfonyl)oxime, 2,2,2-trifluoro-1-phenyl-ethanone O-(1-naphthylsulfonyl)oxime, 2,2,2-trifluoro-1-phenylethanone O-(2-naphthylsulfonyl)oxime, 2,2,2-trifluoro-1-phenylethanone O-(2,4,6-trimethylphenylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methylphenyl)ethanone O-(10-camphor-sulfonyl)oxime, 2,2,2-trifluoro-1-(4-methylphenyl)ethanone O-(methylsulfonyl)oxime, 2,2,2-trifluoro-1-(2-methylphenyl)-ethanone O-(10-camphorsulfonyl)oxime, 2,2,2-trifluoro-1-(2,4-dimethylphenyl)ethanone O-(10-camphorsulfonyl)oxime, 2,2,2-trifluoro-1-(2,4-dimethylphenyl)ethanone O-(1-naphthyl-sulfonyl)oxime, 2,2,2-trifluoro-1-(2,4-dimethylphenyl)-ethanone O-(2-naphthylsulfonyl)oxime, 2,2,2-trifluoro-1-(2,4,6-trimethylphenyl)ethanone O-(10-camphorsulfonyl)oxime, 2,2,2-trifluoro-1-(2,4,6-trimethylphenyl)ethanone O-(1-naphthylsulfonyl)oxime, 2,2,2-trifluoro-1-(2,4,6-trimethyl-phenyl)ethanone O-(2-naphthylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methoxyphenyl)ethanone O-(methylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methylthiophenyl)-ethanone O-(methyl-sulfonyl)oxime, 2,2,2-trifluoro-1-(3,4-dimethoxyphenyl)-ethanone O-(methylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methoxyphenyl)ethanone O-(4-methylphenylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methoxyphenyl)ethanone O-(4-methoxy-phenylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methoxyphenyl)-ethanone O-(4-dodecylphenylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methoxyphenyl)ethanone O-(octylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-thiomethylphenyl)ethanone O-(4-methoxy-phenylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-thiomethylphenyl)-ethanone O-(4-dodecylphenylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-thiomethylphenyl)ethanone O-(octylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-thiomethylphenyl)ethanone O-(2-naphthyl-sulfonyl)oxime, 2,2,2-trifluoro-1-(2-methylphenyl)ethanone O-(methylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methylphenyl)-ethanone O-(phenylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-chlorophenyl)ethanone O-(phenylsulfonyl)oxime, 2,2,3,3,4,4,4-heptafluoro-1-phenylbutanone O-(10-camphor-sulfonyl)oxime, 2,2,2-trifluoro-1-(1-naphthyl)ethanone O-(methylsulfonyl)oxime, 2,2,2-trifluoro-1-(2-naphthyl)-ethanone O-(methylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-benzylphenyl)ethanone O-(methylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-(phenyl-1,4-dioxa-but-1-yl)phenyl)ethanone O-(methylsulfonyl)oxime, 2,2,2-trifluoro-1-(1-naphthyl)ethanone O-(propylsulfonyl)oxime, 2,2,2-trifluoro-1-(2-naphthyl)ethanone O-(propylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-benzylphenyl)-ethanone O-(propylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methylsulfonylphenyl)ethanone O-(propylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methylsulfonyloxyphenyl)ethanone O-(propylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methyl-carbonyloxyphenyl)ethanone O-(propylsulfonyl)oxime, 2,2,2-trifluoro-1-(6H,7H-5,8-dioxonaphth-2-yl)ethanone O-(propylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methoxy-carbonylmethoxyphenyl)ethanone O-(propylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-methoxycarbonyl)-(4-amino-1-oxa-pent-1-yl)phenyl)eth- anone O-(propylsulfonyl)oxime, 2,2,2-trifluoro-1-(3,5-dimethyl-4-ethoxyphenyl)ethanone O-(propylsulfonyl)-oxime, 2,2,2-trifluoro-1-(4-benzyloxyphenyl)ethanone O-(propylsulfonyl)oxime, 2,2,2-trifluoro-1-(2-thiophenyl)-ethanone O-(propylsulfonate)oxime, and 2,2,2-trifluoro-1-(1-dioxathiophen-2-yl)ethanone O-(propylsulfonate)oxime; 2,2,2-trifluoro-1-(4-(3-(4-(2,2,2-trifluoro-1-(trifluoro-methanesulfonylo- xyimino)ethyl)phenoxy)propoxy)phenyl)ethanone O-(trifluoromethanesulfonyl)oxime, 2,2,2-trifluoro-1-(4-(3-(4-(2,2,2-trifluoro-1-(1-propanesulfonyloxyimino)- ethyl)-phenoxy)propoxy)phenyl)ethanone O-(propylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-(3-(4-(2,2,2-trifluoro-1-(1-butane-sulfonyloxyimino)- ethyl)phenoxy)propoxy)phenyl)ethanone O-(butylsulfonyl)oxime, 2,2,2-trifluoro-1-(4-(3-(4-(2,2,2-trifluoro-1-(4-(4-methylphenylsulfonylo- xy)phenylsulfonyl-oxyimino)ethyl)phenoxy)propoxy)phenyl)ethanone O-(4-(4-methylphenylsulfonyloxy)phenylsulfonyl)oxime, and 2,2,2-trifluoro-1-(4-(3-(4-(2,2,2-trifluoro-1-(2,5-bis(4-methylphenylsulf- onyloxy)benzenesulfonyloxy)phenylsulfonyloxy-imino)ethyl)phenoxy)propoxy)p- henyl)ethanone O-(2,5-bis(4-methylphenylsulfonyloxy)benzenesulfonyloxy)phenylsulfonyl)-o- xime. Also included are modified forms of the foregoing compounds having substituted on their skeleton 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-(4-phenylbenzoyloxy)propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane-sulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2-(4-tert-butylbenzoyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoro-propanesulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane-sulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, 1,1-difluoro-2-tosyloxyethanesulfonate, adamantanemethoxy-carbonyldifluoromethanesulfonate, 1-(3-hydroxymethyl-adamantane)methoxycarbonyldifluoromethanesulfonate, methoxycarbonyldifluoromethanesulfonate, 1-(hexahydro-2-oxo-3,5-methano-2H-cyclopenta[b]furan-6-yloxycarbonyl)difl- uoro-methanesulfonate, and 4-oxo-1-adamantyloxycarbonyldifluoro-methanesulfonate.

[0110] Also included are oxime sulfonates having the formula (Ox-1):

##STR00028##

wherein R.sup.401 is a substituted or unsubstituted C.sub.1-C.sub.10 haloalkylsulfonyl or halobenzenesulfonyl group, R.sup.402 is a C.sub.1-C.sub.11 haloalkyl group, and Ar.sup.401 is substituted or unsubstituted aromatic or hetero-aromatic group. Examples include [0111] 2-[2,2,3,3,4,4,5,5-octafluoro-1-(nonafluorobutylsulfonyloxy-imino)-pentyl- ]fluorene, [0112] 2-[2,2,3,3,4,4-pentafluoro-1-(nonafluorobutylsulfonyloxy-imino)-butyl]flu- orene, [0113] 2-[2,2,3,3,4,4,5,5,6,6-decafluoro-1-(nonafluorobutylsulfonyl-oxyimino)-he- xyl]fluorene, [0114] 2-[2,2,3,3,4,4,5,5-octafluoro-1-(nonafluorobutylsulfonyloxy-imino)-pentyl- ]-4-biphenyl, [0115] 2-[2,2,3,3,4,4-pentafluoro-1-(nonafluorobutylsulfonyloxy-imino)-butyl]-4-- biphenyl, and [0116] 2-[2,2,3,3,4,4,5,5,6,6-decafluoro-1-(nonafluorobutylsulfonyl-oxyimino)-he- xyl]-4-biphenyl. Also included are modified forms of the foregoing compounds having substituted on their skeleton 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0117] 1,1,3,3,3-pentafluoro-2-(4-phenylbenzoyloxy)propanesulfonate, [0118] 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, [0119] 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane-sulfonate, [0120] 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, [0121] 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0122] 2-(4-tert-butylbenzoyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, [0123] 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, [0124] 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0125] 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, [0126] 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, [0127] 1,1-difluoro-2-tosyloxyethanesulfonate, [0128] adamantanemethoxycarbonyldifluoromethanesulfonate, [0129] 1-(3-hydroxymethyladamantane)methoxycarbonyldifluoromethane-sulfonate, [0130] methoxycarbonyldifluoromethanesulfonate, [0131] 1-(hexahydro-2-oxo-3,5-methano-2H-cyclopenta[b]furan-6-yloxy-carbonyl)dif- luoromethanesulfonate, and [0132] 4-oxo-1-adamantyloxycarbonyldifluoromethanesulfonate.

[0133] Suitable oxime sulfonate generators using substituted acetonitrile derivatives include [0134] .alpha.-(p-toluenesulfonyloxyimino)-phenylacetonitrile, [0135] .alpha.-(p-chlorobenzenesulfonyloxyimino)-phenylacetonitrile, [0136] .alpha.-(4-nitrobenzenesulfonyloxyimino)-phenylacetonitrile, [0137] .alpha.-(4-nitro-2-trifluoromethylbenzenesulfonyloxyimino)-phenylacetonit- rile, [0138] .alpha.-(benzenesulfonyloxyimino)-4-chlorophenylacetonitrile, [0139] .alpha.-(benzenesulfonyloxyimino)-2,4-dichlorophenylacetonitrile, [0140] .alpha.-(benzenesulfonyloxyimino)-2,6-dichlorophenylacetonitrile, [0141] .alpha.-(benzenesulfonyloxyimino)-4-methoxyphenylacetonitrile, [0142] .alpha.-(2-chlorobenzenesulfonyloxyimino)-4-methoxyphenylaceto-nit- rile, [0143] .alpha.-(benzenesulfonyloxyimino)-2-thienylacetonitrile, [0144] .alpha.-(4-dodecylbenzenesulfonyloxyimino)-phenylacetonitrile, [0145] .alpha.-[(4-toluenesulfonyloxyimino)-4-methoxyphenyl]acetonitrile, [0146] .alpha.-[(dodecylbenzenesulfonyloxyimino)-4-methoxyphenyl]aceto-ni- trile, [0147] .alpha.-(tosyloxyimino)-3-thienylacetonitrile, [0148] .alpha.-(methylsulfonyloxyimino)-1-cyclopentenylacetonitrile, [0149] .alpha.-(ethylsulfonyloxyimino)-1-cyclopentenylacetonitrile, [0150] .alpha.-(isopropylsulfonyloxyimino)-1-cyclopentenylacetonitrile, [0151] .alpha.-(n-butylsulfonyloxyimino)-1-cyclopentenylacetonitrile, [0152] .alpha.-(ethylsulfonyloxyimino)-1-cyclohexenylacetonitrile, [0153] .alpha.-(isopropylsulfonyloxyimino)-1-cyclohexenylacetonitrile, and [0154] .alpha.-(n-butylsulfonyloxyimino)-1-cyclohexenylacetonitrile.

[0155] Also included are modified forms of the foregoing compounds having substituted on their skeleton [0156] 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0157] 1,1,3,3,3-pentafluoro-2-(4-phenylbenzoyloxy)propanesulfonate, [0158] 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, [0159] 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0160] 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, [0161] 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0162] 2-(4-tert-butylbenzoyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, [0163] 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, [0164] 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0165] 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, [0166] 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, [0167] 1,1-difluoro-2-tosyloxyethanesulfonate, [0168] adamantanemethoxycarbonyldifluoromethanesulfonate, [0169] 1-(3-hydroxymethyladamantane)methoxycarbonyldifluoromethane-sulfonate, [0170] methoxycarbonyldifluoromethanesulfonate, [0171] 1-(hexahydro-2-oxo-3,5-methano-2H-cyclopenta[b]fluran-6-yloxy-carbonyl)di- fluoromethanesulfonate, and [0172] 4-oxo-1-adamantyloxycarbonyldifluoromethanesulfonate.

[0173] Suitable bisoxime sulfonates include [0174] bis(.alpha.-(p-toluenesulfonyloxy)imino)-p-phenylenediacetonitrile, [0175] bis(.alpha.-(benzenesulfonyloxy)imino)-p-phenylenediacetonitrile, [0176] bis(.alpha.-(methanesulfonyloxy)imino)-p-phenylenediacetonitrile, [0177] bis(.alpha.-(butanesulfonyloxy)imino)-p-phenylenediacetonitrile, [0178] bis(.alpha.-(10-camphorsulfonyloxy)imino)-p-phenylenediaceto-nitri- le, [0179] bis(.alpha.-(trifluoromethanesulfonyloxy)imino)-p-phenylenediac- eto-nitrile, [0180] bis(.alpha.-(4-methoxybenzenesulfonyloxy)imino)-p-phenylenediaceto-nitril- e, [0181] bis(.alpha.-(p-toluenesulfonyloxy)imino)-m-phenylenediacetonitri- le, [0182] bis(.alpha.-(benzenesulfonyloxy)imino)-m-phenylenediacetonitril- e, [0183] bis(.alpha.-(methanesulfonyloxy)imino)-m-phenylenediacetonitrile- , [0184] bis(.alpha.-(butanesulfonyloxy)imino)-m-phenylenediacetonitrile, [0185] bis(.alpha.-(10-camphorsulfonyloxy)imino)-m-phenylenediaceto-nitri- le, [0186] bis(.alpha.-(trifluoromethanesulfonyloxy)imino)-m-phenylenediac- eto-nitrile, [0187] bis(.alpha.-(4-methoxybenzenesulfonyloxy)imino)-m-phenylenediaceto-nitril- e, etc. Also included are modified forms of the foregoing compounds having substituted on their skeleton 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-(4-phenylbenzoyloxy)propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane-sulfonate, [0188] 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, [0189] 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0190] 2-(4-tert-butylbenzoyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, [0191] 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropane-sulfonate, [0192] 2-acetyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0193] 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, [0194] 1,1,3,3,3-pentafluoro-2-tosyloxypropanesulfonate, [0195] 1,1-difluoro-2-tosyloxyethanesulfonate, [0196] adamantanemethoxycarbonyldifluoromethanesulfonate,1-(3-hydroxymethyladama- ntane)methoxycarbonyldifluoromethane-sulfonate, [0197] methoxycarbonyldifluoromethanesulfonate, [0198] 1-(hexahydro-2-oxo-3,5-methano-2H-cyclopenta[b]furan-6-yloxy-carbonyl)dif- luoromethanesulfonate, and [0199] 4-oxo-1-adamantyloxycarbonyldifluoromethanesulfonate.

[0200] When the photoacid generator (B) is added to the KrF excimer laser resist composition, preference is given to sulfonium salts, bissulfonyldiazomethanes, N-sulfonyloxyimides and oxime-O-sulfonates. Illustrative preferred photoacid generators include [0201] triphenylsulfonium p-toluenesulfonate, [0202] triphenylsulfonium camphorsulfonate, [0203] triphenylsulfonium pentafluorobenzenesulfonate, [0204] triphenylsulfonium nonafluorobutanesulfonate, [0205] triphenylsulfonium 4-(p-toluenesulfonyloxy)benzenesulfonate, [0206] triphenylsulfonium 2,4,6-triisopropylbenzenesulfonate, [0207] 4-tert-butoxyphenyldiphenylsulfonium p-toluenesulfonate, [0208] 4-tert-butoxyphenyldiphenylsulfonium camphorsulfonate, [0209] 4-tert-butoxyphenyldiphenylsulfonium 4-(p-toluenesulfonyl-oxy)benzenesulfonate, [0210] 4-tert-butylphenyldiphenylsulfonium camphorsulfonate, [0211] tris(4-methylphenyl)sulfonium camphorsulfonate, [0212] tris(4-tert-butylphenyl)sulfonium camphorsulfonate, [0213] bis(tert-butylsulfonyl)diazomethane, [0214] bis(cyclohexylsulfonyl)diazomethane, [0215] bis(2,4-dimethylphenylsulfonyl)diazomethane, [0216] bis(4-n-hexyloxyphenylsulfonyl)diazomethane, [0217] bis(2-methyl-4-n-hexyloxyphenylsulfonyl)diazomethane, [0218] bis(2,5-dimethyl-4-n-hexyloxyphenylsulfonyl)diazomethane, [0219] bis(3,5-dimethyl-4-n-hexyloxyphenylsulfonyl)diazomethane, [0220] bis(2-methyl-5-isopropyl-4-n-hexyloxy)phenylsulfonyldiazo-methane, [0221] bis(4-tert-butylphenylsulfonyl)diazomethane, [0222] N-camphorsulfonyloxy-5-norbornene-2,3-dicarboxylic acid imide, [0223] N-p-toluenesulfonyloxy-5-norbornene-2,3-dicarboxylic acid imide, [0224] (5-(10-camphorsulfonyl)oxyimino-5H-thiophen-2-ylidene)(2-methylphenyl)ace- tonitrile, and [0225] (5-(p-toluenesulfonyl)oxyimino-5H-thiophen-2-ylidene)(2-methylphenyl)acet- onitrile.

[0226] When the photoacid generator (B) is added to the ArF laser resist composition, preference is given to sulfonium salts and oxime-O-sulfonates. Illustrative preferred photoacid generators include [0227] triphenylsulfonium trifluoromethanesulfonate, [0228] triphenylsulfonium pentafluoroethanesulfonate, [0229] triphenylsulfonium heptafluoropropanesulfonate, [0230] triphenylsulfonium nonafluorobutanesulfonate, [0231] triphenylsulfonium tridecafluorohexanesulfonate, [0232] triphenylsulfonium heptadecafluorooctanesulfonate, [0233] triphenylsulfonium perfluoro(4-ethylcyclohexane)sulfonate, [0234] 4-methylphenyldiphenylsulfonium nonafluorobutanesulfonate, [0235] 2-oxo-2-phenylethylthiacyclopentanium nonafluorobutane-sulfonate, [0236] 4-tert-butylphenyldiphenylsulfonium nonafluorobutanesulfonate, [0237] 4-tert-butylphenyldiphenylsulfonium perfluoro(4-ethylcyclo-hexane)sulfonate, [0238] 4-tert-butylphenyldiphenylsulfonium heptafluorooctane-sulfonate, [0239] triphenylsulfonium 1,1-difluoro-2-naphthylethanesulfonate, [0240] triphenylsulfonium 1,1,2,2-tetrafluoro-2-(norbornan-2-yl)-ethanesulfonate, [0241] triphenylsulfonium 2-benzoyloxy-1,1,3,3,3-pentafluoropropane-sulfonate, [0242] triphenylsulfonium 1,1,3,3,3-pentafluoro-2-(pivaloyloxy)-propanesulfonate, [0243] triphenylsulfonium 2-(cyclohexanecarbonyloxy)-1,1,3,3,3-pentafluoropropanesulfonate, [0244] triphenylsulfonium 2-(2-naphthoyloxy)-1,1,3,3,3-pentafluoro-propanesulfonate, [0245] triphenylsulfonium 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropanesulfonate, [0246] triphenylsulfonium 2-hydroxy-1,1,3,3,3-pentafluoropropane-sulfonate, [0247] triphenylsulfonium adamantanemethoxycarbonyldifluoromethane-sulfonate, [0248] triphenylsulfonium 1-(3-hydroxymethyladamantane)methoxy-carbonyldifluoromethanesulfonate, [0249] triphenylsulfonium methoxycarbonyldifluoromethanesulfonate, [0250] 4-tert-butylphenyldiphenylsulfonium 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0251] 4-tert-butylphenyldiphenylsulfonium 1,1,3,3,3-pentafluoro-2-(pivaloyloxy)propanesulfonate, [0252] 4-tert-butylphenyldiphenylsulfonium 2-(cyclohexanecarbonyl-oxy)-1,1,3,3,3-pentafluoropropanesulfonate, [0253] 4-tert-butylphenyldiphenylsulfonium 2-(2-naphthoyloxy)-1,1,3,3,3-pentafluoropropanesulfonate, [0254] 4-tert-butylphenyldiphenylsulfonium 2-(1-adamantanecarbonyl-oxy)-1,1,3,3,3-pentafluoropropanesulfonate, [0255] 4-tert-butylphenyldiphenylsulfonium 2-hydroxy-1,1,3,3,3-pentafluoropropanesulfonate, [0256] 4-tert-butylphenyldiphenylsulfonium adamantanemethoxy-carbonyldifluoromethanesulfonate, [0257] 4-tert-butylphenyldiphenylsulfonium 1-(3-hydroxymethyl-adamantane)methoxycarbonyldifluoromethanesulfonate, [0258] 4-tert-butylphenyldiphenylsulfonium methoxycarbonyldifluoro-methanesulfonate, [0259] 2-oxo-2-phenylethylthiacyclopentanium 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0260] 2-oxo-2-phenylethylthiacyclopentanium 2-cyclohexanecarbonyl-oxy-1,1,3,3,3-pentafluoropropanesulfonate, [0261] triphenylsulfonium perfluoro(1,3-propylenebissulfonyl)imide, [0262] triphenylsulfonium bis(pentafluoroethylsulfonyl)imide, [0263] 2-(2,2,3,3,4,4,5,5-octafluoro-1-(nonafluorobutylsulfonyloxy-imino)pentyl)- fluorene, [0264] 2-(2,2,3,3,4,4-pentafluoro-1-(nonafluorobutylsulfonyloxy-imino)butyl)fluo- rene, [0265] 2-(2,2,3,3,4,4,5,5,6,6-decafluoro-1-(nonafluorobutylsulfonyl-oxyimino)hex- yl)fluorene, [0266] 2-(2,2,3,3,4,4,5,5-octafluoro-1-(2-(cyclohexanecarbonyloxy)-1,1,3,3,3-pen- tafluoropropanesulfonyloxyimino)pentyl)fluorene, [0267] 2-(2,2,3,3,4,4-pentafluoro-1-(2-(cyclohexanecarbonyloxy)-1,1,3,3,3-pentaf- luoropropanesulfonyloxyimino)butyl)fluorene, [0268] and 2-(2,2,3,3,4,4,5,5,6,6-decafluoro-1-(nonafluorobutyl-sulfonyloxyimino)hex- yl)fluorene.

[0269] When the photoacid generator (B) is added to the ArF immersion lithography resist composition, preference is given to sulfonium salts and oxime-O-sulfonates. Illustrative preferred photoacid generators include [0270] triphenylsulfonium nonafluorobutanesulfonate, [0271] triphenylsulfonium tridecafluorohexanesulfonate, [0272] triphenylsulfonium heptadecafluorooctanesulfonate, [0273] triphenylsulfonium perfluoro(4-ethylcyclohexane)sulfonate, [0274] 4-methylphenyldiphenylsulfonium nonafluorobutanesulfonate, [0275] 2-oxo-2-phenylethylthiacyclopentanium nonafluorobutane-sulfonate, [0276] 4-tert-butylphenyldiphenylsulfonium nonafluorobutanesulfonate, [0277] 4-tert-butylphenyldiphenylsulfonium perfluoro(4-ethylcyclo-hexane)sulfonate, [0278] 4-tert-butylphenyldiphenylsulfonium heptafluorooctane-sulfonate, [0279] triphenylsulfonium 1,1-difluoro-2-naphthylethanesulfonate, [0280] triphenylsulfonium 1,1,2,2-tetrafluoro-2-(norbornan-2-yl)-ethanesulfonate, [0281] triphenylsulfonium 2-benzoyloxy-1,1,3,3,3-pentafluoropropane-sulfonate, [0282] triphenylsulfonium 1,1,3,3,3-pentafluoro-2-(pivaloyloxy)-propanesulfonate, [0283] triphenylsulfonium 2-(cyclohexanecarbonyloxy)-1,1,3,3,3-pentafluoropropanesulfonate, [0284] triphenylsulfonium 2-(2-naphthoyloxy)-1,1,3,3,3-pentafluoro-propanesulfonate, [0285] triphenylsulfonium 2-(1-adamantanecarbonyloxy)-1,1,3,3,3-pentafluoropropanesulfonate, [0286] triphenylsulfonium 2-hydroxy-1,1,3,3,3-pentafluoropropane-sulfonate, [0287] triphenylsulfonium adamantanemethoxycarbonyldifluoromethane-sulfonate, [0288] triphenylsulfonium 1-(3-hydroxymethyladamantane)methoxy-carbonyldifluoromethanesulfonate, [0289] triphenylsulfonium methoxycarbonyldifluoromethanesulfonate, [0290] 4-tert-butylphenyldiphenylsulfonium 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0291] 4-tert-butylphenyldiphenylsulfonium 1,1,3,3,3-pentafluoro-2-(pivaloyloxy)propanesulfonate, [0292] 4-tert-butylphenyldiphenylsulfonium 2-(cyclohexanecarbonyl-oxy)-1,1,3,3,3-pentafluoropropanesulfonate, [0293] 4-tert-butylphenyldiphenylsulfonium 2-(2-naphthoyloxy)-1,1,3,3,3-pentafluoropropanesulfonate, [0294] 4-tert-butylphenyldiphenylsulfonium 2-(1-adamantanecarbonyl-oxy)-1,1,3,3,3-pentafluoropropanesulfonate, [0295] 4-tert-butylphenyldiphenylsulfonium 2-hydroxy-1,1,3,3,3-pentafluoropropanesulfonate, [0296] 4-tert-butylphenyldiphenylsulfonium adamantanemethoxy-carbonyldifluoromethanesulfonate, [0297] 4-tert-butylphenyldiphenylsulfonium 1-(3-hydroxymethyl-adamantane)methoxycarbonyldifluoromethanesulfonate, [0298] 4-tert-butylphenyldiphenylsulfonium methoxycarbonyldifluoro-methanesulfonate, [0299] 2-oxo-2-phenylethylthiacyclopentanium 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, [0300] 2-oxo-2-phenylethylthiacyclopentanium 2-cyclohexanecarbonyl-oxy-1,1,3,3,3-pentafluoropropanesulfonate, [0301] triphenylsulfonium perfluoro(1,3-propylenebissulfonyl)imide, [0302] triphenylsulfonium bis(pentafluoroethylsulfonyl)imide, [0303] 2-(2,2,3,3,4,4,5,5-octafluoro-1-(nonafluorobutylsulfonyloxy-imino)pentyl)- fluorene, [0304] 2-(2,2,3,3,4,4-pentafluoro-1-(nonafluorobutylsulfonyloxy-imino)butyl)fluo- rene, [0305] 2-(2,2,3,3,4,4,5,5,6,6-decafluoro-1-(nonafluorobutylsulfonyl-oxyimino)hex- yl)fluorene, [0306] 2-(2,2,3,3,4,4,5,5-octafluoro-1-(2-(cyclohexanecarbonyloxy)-1,1,3,3,3-pen- tafluoropropanesulfonyloxyimino)pentyl)fluorene, [0307] 2-(2,2,3,3,4,4-pentafluoro-1-(2-(cyclohexanecarbonyloxy)-1,1,3,3,3-pentaf- luoropropanesulfonyloxyimino)butyl)fluorene, [0308] and 2-(2,2,3,3,4,4,5,5,6,6-decafluoro-1-(nonafluorobutyl-sulfonyloxyimino)hex- yl)fluorene.

[0309] In the chemically amplified resist composition, the photoacid generator (B) may be added in any desired amount as long as the objects of the invention are not compromised. An appropriate amount of the photoacid generator (B) is 0.1 to 30 parts, and more preferably 0.5 to 20 parts by weight per 100 parts by weight of the base resin in the composition. Less than 0.1 phr of the photoacid generator (B) may lead to poor sensitivity whereas more than 30 phr may lead to lower transparency and lower resolution and give rise to a problem of foreign matter upon development and resist film peeling. The photoacid generators may be used alone or in admixture of two or more. The transmittance of the resist film can be controlled by using an photoacid generator having a low transmittance at the exposure wavelength and adjusting the amount of the photoacid generator added.

[0310] It is noted that an acid diffusion controlling function may be provided when two or more photoacid generators are used in admixture provided that one photoacid generator is an onium salt capable of generating a weak acid. Specifically, in a system using a mixture of a photoacid generator capable of generating a strong acid (e.g., fluorinated sulfonic acid) and an onium salt capable of generating a weak acid (e.g., non-fluorinated sulfonic acid or carboxylic acid), if the strong acid generated from the photoacid generator upon exposure to high-energy radiation collides with the unreacted onium salt having a weak acid anion, then a salt exchange occurs whereby the weak acid is released and an onium salt having a strong acid anion is formed. In this course, the strong acid is exchanged into the weak acid having a low catalysis, incurring apparent deactivation of the acid for enabling to control acid diffusion.

[0311] If an onium salt capable of generating a strong acid and an onium salt capable of generating a weak acid are used in admixture, an exchange from the strong acid to the weak acid as above can take place, but it never happens that the weak acid collides with the unreacted onium salt capable of generating a strong acid to induce a salt exchange. This is because of a likelihood of an onium cation forming an ion pair with a stronger acid anion.

[0312] In the resist composition of the invention, there may be added a compound which is decomposed with an acid to generate another acid, that is, acid amplifier compound. For these compounds, reference should be made to J. Photopolym. Sci. and Tech., 8, 43-44, 45-46 (1995), and ibid., 9, 29-30 (1996).

[0313] Examples of the acid amplifier compound include tert-butyl-2-methyl-2-tosyloxymethyl acetoacetate and 2-phenyl-2-(2-tosyloxyethyl)-1,3-dioxolane, but are not limited thereto. Of well-known photoacid generators, many of those compounds having poor stability, especially poor thermal stability exhibit an acid amplifier-like behavior.

[0314] In the resist composition of the invention, an appropriate amount of the acid amplifier compound is up to 10 parts, and especially up to 5 parts by weight per 100 parts by weight of the base resin. Excessive amounts of the acid amplifier compound make diffusion control difficult, leading to degradation of resolution and pattern profile.

[0315] Organic Solvent

[0316] The organic solvent (C) used herein may be any organic solvent in which the base resin, acid generator, and other components are soluble. Illustrative, non-limiting, examples of the organic solvent include ketones such as cyclopentanone, cyclohexanone, 4-methyl-2-pentanone and methyl amyl ketone; alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, and ethylene glycol monoethyl ether; ethers such as propylene glycol dimethyl ether and diethylene glycol dimethyl ether; esters such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, and propylene glycol mono-tert-butyl ether acetate; lactones such as .gamma.-butyrolactone; and carbonates such as ethylene carbonate and propylene carbonate. These solvents may be used alone or in combinations of two or more thereof. Of the above organic solvents, it is recommended to use propylene glycol monomethyl ether, PGMEA, cyclohexanone, .gamma.-butyrolactone, ethyl lactate, and mixtures thereof because the base resin and acid generator are most soluble therein.

[0317] Although the amount of the organic solvent used may be determined as appropriate depending on the desired film thickness or other factors, the preferred amount is 200 to 6,000 parts, especially 400 to 4,000 parts by weight per 100 parts by weight of the base resin.

[0318] Quencher

[0319] A quencher (D) may be optionally used in the resist composition of the invention. The term "quencher" as used herein has a meaning generally known in the art and refers to a compound capable of suppressing the rate of diffusion when the acid generated by the acid generator diffuses within the resist film. The inclusion of quencher facilitates adjustment of resist sensitivity and holds down the rate of acid diffusion within the resist film, resulting in better resolution. In addition, it suppresses changes in sensitivity following exposure and reduces substrate and environment dependence, as well as improving the exposure latitude and the pattern profile.

[0320] Examples of suitable quenchers include primary, secondary, and tertiary aliphatic amines, mixed amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds with carboxyl group, nitrogen-containing compounds with sulfonyl group, nitrogen-containing compounds with hydroxyl group, nitrogen-containing compounds with hydroxyphenyl group, alcoholic nitrogen-containing compounds, amide derivatives, imide derivatives, carbamate derivatives, and ammonium salts.

[0321] Also included are amine compounds of the following general formula (B)-1.

N(X).sub.n(Y).sub.3-n (B)-1

In the formula, n is equal to 1, 2 or 3. The side chain X is independently selected from groups of the following general formulas (X)-1 to (X)-3. The side chain Y is independently hydrogen or a straight, branched or cyclic C.sub.1-C.sub.20 alkyl group in which some or all hydrogen atoms may be substituted by fluorine atoms and which may contain an ether or hydroxyl group. Two or three X may bond together to form a ring.

##STR00029##

In the formulas, R.sup.300, R.sup.302 and R.sup.305 are independently straight or branched C.sub.1-C.sub.4 alkylene groups; R.sup.301 and R.sup.304 are independently hydrogen or straight, branched or cyclic C.sub.1-C.sub.50 alkyl groups in which some or all hydrogen atoms may be substituted by fluorine atoms and which may contain one or more hydroxyl, ether, ester groups or lactone rings; R.sup.303 is a single bond or a straight or branched C.sub.1-C.sub.4 alkylene group; R.sup.306 is a straight, branched or cyclic C.sub.1-.sub.50 alkyl group in which some or all hydrogen atoms may be substituted by fluorine atoms and which may contain one or more hydroxyl, ether, ester groups or lactone rings.

[0322] Also useful are cyclic structure-bearing amine compounds having the following general formula (B)-2.

##STR00030##

Herein X is as defined above, and R.sup.307 is a straight or branched C.sub.2-C.sub.20 alkylene group in which some or all hydrogen atoms may be substituted by fluorine atoms and which may contain one or more carbonyl, ether, ester or sulfide groups.

[0323] Also included are cyano-bearing amine compounds having the following general formulae (B)-3 to (B)-6.

##STR00031##

Herein X, R.sup.307 and n are as defined in formula (B)-1, and R.sup.308 and R.sup.309 are each independently a straight or branched C.sub.1-C.sub.4 alkylene group.

[0324] Also included are amine compounds of imidazole structure having a polar functional group, represented by the general formula (B)-7.

##STR00032##

Herein R.sup.310 is a straight, branched or cyclic C.sub.2-C.sub.50 alkyl group in which some or all hydrogen atoms may be substituted by fluorine atoms and which has one or more polar functional groups. The polar functional group is selected from among ester, acetal, cyano, hydroxyl, carbonyl, ether, sulfide, and carbonate groups and mixtures thereof. R.sup.311, R.sup.312 and R.sup.313 are each independently a hydrogen atom, a straight, branched or cyclic C.sub.1-C.sub.10 alkyl group, aryl group or aralkyl group.

[0325] Further included are amine compounds of benzimidazole structure having a polar functional group, represented by the general formula (B)-8.

##STR00033##

Herein R.sup.314 is hydrogen, a straight, branched or cyclic C.sub.1-C.sub.50 alkyl group, aryl group or aralkyl group. R.sup.315 is a straight, branched or cyclic C.sub.1-C.sub.50 alkyl group in which some or all hydrogen atoms may be substituted by fluorine atoms and which may have one or more polar functional groups selected from ester, acetal, cyano, hydroxyl, carbonyl, ether, sulfide, and carbonate groups and mixtures thereof.

[0326] Further included are heterocyclic nitrogen-containing compounds having a polar functional group, represented by the general formulae (B)-9 and (B)-10.

##STR00034##

Herein A is a nitrogen atom or .ident.C--R.sup.322. B is a nitrogen atom or .ident.C--R.sup.323. R.sup.316 is a straight, branched or cyclic C.sub.2-C.sub.50 alkyl group in which some or all hydrogen atoms may be substituted by fluorine atoms and which has one or more polar functional groups, the polar functional group being selected from among ester, acetal, cyano, hydroxyl, carbonyl, ether, sulfide, and carbonate groups and mixtures thereof. R.sup.317, R.sup.318, R.sup.319 and R.sup.320 are each independently hydrogen, a straight, branched or cyclic C.sub.1-C.sub.10, alkyl group or aryl group, or a pair of R.sup.317 and R.sup.318 and a pair of R.sup.319 and R.sup.320, taken together, may form a benzene, naphthalene or pyridine ring with the carbon atoms to which they are attached. R.sup.321 is hydrogen, a straight, branched or cyclic C.sub.1-C.sub.10 alkyl group or aryl group. R32.sup.2 and R.sup.323 each are hydrogen, a straight, branched or cyclic C.sub.1-C.sub.10 alkyl group or aryl group, or a pair of R.sup.321 and R.sup.323, taken together, may form a benzene or naphthalene ring with the carbon atoms to which they are attached.

[0327] Also included are organic nitrogen-containing compounds having an aromatic carboxylic acid ester structure, represented by the general formulae (B)-11 to (B)-14.

##STR00035##

Herein R.sup.324 is a C.sub.6-C.sub.20 aryl group or C.sub.4-C.sub.20 hetero-aromatic group, in which some or all hydrogen atoms may be replaced by halogen atoms, straight, branched or cyclic C.sub.1-C.sub.20 alkyl groups, C.sub.6-C.sub.20 aryl groups, C.sub.7-C.sub.20 aralkyl groups, C.sub.1-C.sub.10 alkoxy groups, C.sub.1-C.sub.10 acyloxy groups or C.sub.1-C.sub.10 alkylthio groups. R.sup.325 is CO.sub.2R.sup.326, OR.sup.327 or cyano group. R.sup.326 is a C.sub.1-C.sub.10 alkyl group, in which some methylene groups may be replaced by oxygen atoms. R.sup.327 is a C.sub.1-C.sub.10 alkyl or acyl group, in which some methylene groups may be replaced by oxygen atoms. R.sup.328 is a single bond, methylene, ethylene, sulfur atom or --O(CH.sub.2CH.sub.2O).sub.n-- group wherein n is 0, 1, 2, 3 or 4. R.sup.329 is hydrogen, methyl, ethyl or phenyl. X is a nitrogen atom or CR.sup.330. Y is a nitrogen atom or CR.sup.331. Z is a nitrogen atom or CR.sup.332. R.sup.330, R.sup.331 and R.sup.332 are each independently hydrogen, methyl or phenyl. Alternatively, a pair of R.sup.330 and R.sup.331 or a pair of R.sup.331 and R.sup.332 may bond together to form a C.sub.6-C.sub.20 aromatic ring or C.sub.2-C.sub.20 hetero-aromatic ring with the carbon atoms to which they are attached.

[0328] Further included are amine compounds of 7-oxanorbornane-2-carboxylic ester structure, represented by the general formula (B)-15.

##STR00036##

Herein R.sup.333 is hydrogen or a straight, branched or cyclic C.sub.1-C.sub.10 alkyl group. R.sup.334 and R.sup.335 are each independently a C.sub.1-C.sub.20 alkyl group, C.sub.6-C.sub.20 aryl group or C.sub.7-C.sub.20 aralkyl group, which may contain one or more polar functional groups selected from among ether, carbonyl, ester, alcohol, sulfide, nitrile, amine, imine, and amide and in which some hydrogen atoms may be replaced by halogen atoms. R.sup.334 and R.sup.335, taken together, may form a heterocyclic or hetero-aromatic ring of 2 to 20 carbon atoms with the nitrogen atom to which they are attached.

[0329] Illustrative examples of the quencher used herein are given below, but not limited thereto.

[0330] Examples of suitable primary aliphatic amines include methylamine, ethylamine, n-propylamine, isopropylamine, n-butylamine, isobutylamine, sec-butylamine, tert-butylamine, pentylamine, tert-amylamine, cyclopentylamine, hexylamine, cyclohexylamine, heptylamine, octylamine, nonylamine, decylamine, dodecylamine, cetylamine, methylenediamine, ethylenediamine, and tetraethylenepentamine. Examples of suitable secondary aliphatic amines include dimethylamine, diethylamine, di-n-propylamine, diisopropylamine, di-n-butylamine, diisobutylamine, di-sec-butylamine, dipentylamine, dicyclopentylamine, dihexylamine, dicyclohexylamine, diheptylamine, dioctylamine, dinonylamine, didecylamine, didodecylamine, dicetylamine, N,N-dimethylmethylenediamine, N,N-dimethylethylenediamine, and N,N-dimethyltetraethylenepentamine. Examples of suitable tertiary aliphatic amines include trimethylamine, triethylamine, tri-n-propylamine, triisopropylamine, tri-n-butylamine, triisobutylamine, tri-sec-butylamine, tripentylamine, tricyclopentylamine, trihexylamine, tricyclohexylamine, triheptylamine, trioctylamine, trinonylamine, tridecylamine, tridodecylamine, tricetylamine, N,N,N',N'-tetramethylmethylenediamine, N,N,N',N'-tetramethylethylenediamine, and N,N,N',N'-tetramethyltetraethylenepentamine.

[0331] Examples of suitable mixed amines include dimethylethylamine, methylethylpropylamine, benzylamine, phenethylamine, and benzyldimethylamine. Examples of suitable aromatic and heterocyclic amines include aniline derivatives (e.g., aniline, N-methylaniline, N-ethylaniline, N-propylaniline, N,N-dimethylaniline, N,N-bis(hydroxyethyl)aniline, 2-methylaniline, 3-methylaniline, 4-methylaniline, ethylaniline, propylaniline, dimethylaniline, 2,6-diisopropylaniline, trimethylaniline, 2-nitroaniline, 3-nitroaniline, 4-nitroaniline, 2,4-dinitroaniline, 2,6-dinitroaniline, 3,5-dinitroaniline, and N,N-dimethyltoluidine), diphenyl(p-tolyl)amine, methyldiphenylamine, triphenylamine, phenylenediamine, naphthylamine, diaminonaphthalene, pyrrole derivatives (e.g., pyrrole, 2H-pyrrole, 1-methylpyrrole, 2,4-dimethylpyrrole, 2,5-dimethylpyrrole, and N-methylpyrrole), oxazole derivatives (e.g., oxazole and isooxazole), thiazole derivatives (e.g., thiazole and isothiazole), imidazole derivatives (e.g., imidazole, 4-methylimidazole, and 4-methyl-2-phenylimidazole), pyrazole derivatives, furazane derivatives, pyrroline derivatives (e.g., pyrroline and 2-methyl-1-pyrroline), pyrrolidine derivatives (e.g., pyrrolidine, N-methylpyrrolidine, pyrrolidinone, and N-methylpyrrolidone), imidazoline derivatives, imidazolidine derivatives, pyridine derivatives (e.g., pyridine, methylpyridine, ethylpyridine, propylpyridine, butylpyridine, 4-(1-butylpentyl)pyridine, dimethylpyridine, trimethylpyridine, triethylpyridine, phenylpyridine, 3-methyl-2-phenylpyridine, 4-tert-butylpyridine, diphenylpyridine, benzylpyridine, methoxypyridine, butoxypyridine, dimethoxypyridine, 4-pyrrolidinopyridine, 2-(1-ethylpropyl)pyridine, aminopyridine, and dimethylaminopyridine), pyridazine derivatives, pyrimidine derivatives, pyrazine derivatives, pyrazoline derivatives, pyrazolidine derivatives, piperidine derivatives, piperazine derivatives, morpholine derivatives, indole derivatives, isoindole derivatives, 1H-indazole derivatives, indoline derivatives, quinoline derivatives (e.g., quinoline and 3-quinolinecarbonitrile), isoquinoline derivatives, cinnoline derivatives, quinazoline derivatives, quinoxaline derivatives, phthalazine derivatives, purine derivatives, pteridine derivatives, carbazole derivatives, phenanthridine derivatives, acridine derivatives, phenazine derivatives, 1,10-phenanthroline derivatives, adenine derivatives, adenosine derivatives, guanine derivatives, guanosine derivatives, uracil derivatives, and uridine derivatives.

[0332] Examples of suitable nitrogen-containing compounds with carboxyl group include aminobenzoic acid, indolecarboxylic acid, and amino acid derivatives (e.g. nicotinic acid, alanine, alginine, aspartic acid, glutamic acid, glycine, histidine, isoleucine, glycylleucine, leucine, methionine, phenylalanine, threonine, lysine, 3-aminopyrazine-2-carboxylic acid, and methoxyalanine). A typical nitrogen-containing compound with sulfonyl group is 3-pyridinesulfonic acid. Examples of suitable nitrogen-containing compounds with hydroxyl group, nitrogen-containing compounds with hydroxyphenyl group, and alcoholic nitrogen-containing compounds include 2-hydroxypyridine, aminocresol, 2,4-quinolinediol, 3-indolemethanol hydrate, monoethanolamine, diethanolamine, triethanolamine, N-ethyldiethanolamine, N,N-diethylethanolamine, triisopropanolamine, 2,2'-iminodiethanol, 2-aminoethanol, 3-amino-1-propanol, 4-amino-1-butanol, 4-(2-hydroxyethyl)morpholine, 2-(2-hydroxyethyl)pyridine, 1-(2-hydroxyethyl)piperazine, 1-[2-(2-hydroxyethoxy)ethyl]piperazine, piperidine ethanol, 1-(2-hydroxyethyl)pyrrolidine, 1-(2-hydroxyethyl)-2-pyrrolidinone, 3-piperidino-1,2-propanediol, 3-pyrrolidino-1,2-propanediol, 8-hydroxyjulolidine, 3-quinuclidinol, 3-tropanol, 1-methyl-2-pyrrolidine ethanol, 1-aziridine ethanol, N-(2-hydroxyethyl)phthalimide, and N-(2-hydroxyethyl)isonicotinamide. Examples of suitable amide derivatives include formamide, N-methylformamide, N,N-dimethylformamide, acetamide, N-methylacetamide, N,N-dimethylacetamide, propionamide, benzamide, and 1-cyclohexylpyrrolidone. Suitable imide derivatives include phthalimide, succinimide, and maleimide. Suitable carbamate derivatives include N-tert-butoxycarbonyl-N,N-dicyclohexylamine, N-tert-butoxycarbonylbenzimidazole, and oxazolidinone.

[0333] Suitable ammonium salts include [0334] pyridinium p-toluenesulfonate, [0335] triethylammonium p-toluenesulfonate, [0336] trioctylammonium p-toluenesulfonate, [0337] triethylammonium 2,4,6-triisopropylbenzenesulfonate, [0338] trioctylammonium 2,4,6-triisopropylbenzenesulfonate, [0339] triethylammonium camphorsulfonate, [0340] trioctylammonium camphorsulfonate, [0341] tetramethylammonium hydroxide, tetraethylammonium hydroxide, [0342] tetrabutylammonium hydroxide, [0343] benzyltrimethylammonium hydroxide, [0344] tetramethylammonium p-toluenesulfonate, [0345] tetrabutylammonium p-toluenesulfonate, [0346] benzyltrimethylammonium p-toluenesulfonate, [0347] tetramethylammonium camphorsulfonate, [0348] tetrabutylammonium camphorsulfonate, [0349] benzyltrimethylammonium camphorsulfonate, [0350] tetramethylammonium 2,4,6-triisopropylbenzenesulfonate, [0351] tetrabutylammonium 2,4,6-triisopropylbenzenesulfonate, [0352] benzyltrimethylammonium 2,4,6-triisopropylbenzenesulfonate, [0353] tetramethylammonium acetate, tetrabutylammonium acetate, [0354] benzyltrimethylammonium acetate, tetramethylammonium benzoate, [0355] tetrabutylammonium benzoate, and [0356] benzyltrimethylammonium benzoate.

[0357] Further examples of the tertiary amines include [0358] tris(2-methoxymethoxyethyl)amine, [0359] tris{2-(2-methoxyethoxy)ethyl}amine, [0360] tris{2-(2-methoxyethoxymethoxy)ethyl}amine, [0361] tris{2-(1-methoxyethoxy)ethyl}amine, [0362] tris{2-(1-ethoxyethoxy)ethyl}amine, [0363] tris{2-(1-ethoxypropoxy)ethyl}amine, [0364] tris[2-{2-(2-hydroxyethoxy)ethoxy}ethyl]amine, [0365] 4,7,13,16,21,24-hexaoxa-1,10-diazabicyclo[8.8.8]hexacosane, [0366] 4,7,13,18-tetraoxa-1,10-diazabicyclo[8.5.5]eicosane, [0367] 1,4,10,13-tetraoxa-7,16-diazabicyclooctadecane, [0368] 1-aza-12-crown-4,1-aza-15-crown-5,1-aza-18-crown-6, tris(2-formyloxyethyl)amine, tris(2-acetoxyethyl)amine, [0369] tris(2-propionyloxyethyl)amine, tris(2-butyryloxyethyl)amine, [0370] tris(2-isobutyryloxyethyl)amine, tris(2-valeryloxyethyl)amine, [0371] tris(2-pivaloyloxyethyl)amine, [0372] N,N-bis(2-acetoxyethyl)-2-(acetoxyacetoxy)ethylamine, [0373] tris(2-methoxycarbonyloxyethyl)amine, [0374] tris(2-tert-butoxycarbonyloxyethyl)amine, [0375] tris[2-(2-oxopropoxy)ethyl]amine, [0376] tris[2-(methoxycarbonylmethyl)oxyethyl]amine, [0377] tris[2-(tert-butoxycarbonylmethyloxy)ethyl]amine, [0378] tris[2-(cyclohexyloxycarbonylmethyloxy)ethyl]amine, [0379] tris(2-methoxycarbonylethyl)amine, [0380] tris(2-ethoxycarbonylethyl)amine, [0381] tris(2-benzoyloxyethyl)amine, [0382] tris[2-(4-methoxybenzoyloxy)ethyl]amine, [0383] N,N-bis(2-hydroxyethyl)-2-(methoxycarbonyl)ethylamine, [0384] N,N-bis(2-acetoxyethyl)-2-(methoxycarbonyl)ethylamine, [0385] N,N-bis(2-hydroxyethyl)-2-(ethoxycarbonyl)ethylamine, [0386] N,N-bis(2-acetoxyethyl)-2-(ethoxycarbonyl)ethylamine, [0387] N,N-bis(2-hydroxyethyl)-2-(2-methoxyethoxycarbonyl)ethylamine, [0388] N,N-bis(2-acetoxyethyl)-2-(2-methoxyethoxycarbonyl)ethylamine, [0389] N,N-bis(2-hydroxyethyl)-2-(2-hydroxyethoxycarbonyl)ethylamine, [0390] N,N-bis(2-acetoxyethyl)-2-(2-acetoxyethoxycarbonyl)ethylamine, [0391] N,N-bis(2-hydroxyethyl)-2-[(methoxycarbonyl)methoxycarbonyl]-ethylamine, [0392] N,N-bis(2-acetoxyethyl)-2-[(methoxycarbonyl)methoxycarbonyl]-ethyl- amine, [0393] N,N-bis(2-hydroxyethyl)-2-(2-oxopropoxycarbonyl)ethylamine, [0394] N,N-bis(2-acetoxyethyl)-2-(2-oxopropoxycarbonyl)ethylamine, [0395] N,N-bis(2-hydroxyethyl)-2-(tetrahydrofurfuryloxycarbonyl)-ethylamine, [0396] N,N-bis(2-acetoxyethyl)-2-(tetrahydrofurfuryloxycarbonyl)-ethylami- ne, [0397] N,N-bis(2-hydroxyethyl)-2-[(2-oxotetrahydrofuran-3-yl)oxy-carbo- nyl]ethylamine, [0398] N,N-bis(2-acetoxyethyl)-2-[(2-oxotetrahydrofuran-3-yl)oxy-carbonyl]ethyla- mine, [0399] N,N-bis(2-hydroxyethyl)-2-(4-hydroxybutoxycarbonyl)ethylamine, [0400] N,N-bis(2-formyloxyethyl)-2-(4-formyloxybutoxycarbonyl)-ethylamine, [0401] N,N-bis(2-formyloxyethyl)-2-(2-formyloxyethoxycarbonyl)-ethylamine- , [0402] N,N-bis(2-methoxyethyl)-2-(methoxycarbonyl)ethylamine, [0403] N-(2-hydroxyethyl)-bis[2-(methoxycarbonyl)ethyl]amine, [0404] N-(2-acetoxyethyl)-bis[2-(methoxycarbonyl)ethyl]amine, [0405] N-(2-hydroxyethyl)-bis[2-(ethoxycarbonyl)ethyl]amine, [0406] N-(2-acetoxyethyl)-bis[2-(ethoxycarbonyl)ethyl]amine, [0407] N-(3-hydroxy-1-propyl)-bis[2-(methoxycarbonyl)ethyl]amine, [0408] N-(3-acetoxy-1-propyl)-bis[2-(methoxycarbonyl)ethyl]amine, [0409] N-(2-methoxyethyl)-bis[2-(methoxycarbonyl)ethyl]amine, [0410] N-butyl-bis[2-(methoxycarbonyl)ethyl]amine, [0411] N-butyl-bis[2-(2-methoxyethoxycarbonyl)ethyl]amine, [0412] N-methyl-bis(2-acetoxyethyl)amine, [0413] N-ethyl-bis(2-acetoxyethyl)amine, [0414] N-methyl-bis(2-pivaloyloxyethyl)amine, [0415] N-ethyl-bis[2-(methoxycarbonyloxy)ethyl]amine, [0416] N-ethyl-bis[2-(tert-butoxycarbonyloxy)ethyl]amine, [0417] tris(methoxycarbonylmethyl)amine, [0418] tris(ethoxycarbonylmethyl)amine, [0419] N-butyl-bis(methoxycarbonylmethyl)amine, [0420] N-hexyl-bis(methoxycarbonylmethyl)amine, and [0421] .beta.-(diethylamino)-.delta.-valerolactone.

[0422] Illustrative examples of the amine compounds include [0423] 1-[2-(methoxymethoxy)ethyl]pyrrolidine, [0424] 1-[2-(methoxymethoxy)ethyl]piperidine, [0425] 4-[2-(methoxymethoxy)ethyl]morpholine, [0426] 1-[2-(methoxymethoxy)ethyl]imidazole, [0427] 1-[2-(methoxymethoxy)ethyl]benzimidazole, [0428] 1-[2-(methoxymethoxy)ethyl]-2-phenylbenzimidazole, [0429] 1-[2-[(2-methoxyethoxy)methoxy]ethyl]pyrrolidine, [0430] 1-[2-[(2-methoxyethoxy)methoxy]ethyl]piperidine, [0431] 4-[2-[(2-methoxyethoxy)methoxy]ethyl]morpholine, [0432] 1-[2-[(2-methoxyethoxy)methoxy]ethyl]imidazole, [0433] 1-[2-[(2-methoxyethoxy)methoxy]ethyl]benzimidazole, [0434] 1-[2-[(2-methoxyethoxy)methoxy]ethyl]-2-phenylbenzimidazole, [0435] 1-[2-[2-(2-methoxyethoxy)ethoxy]ethyl]pyrrolidine, [0436] 1-[2-[2-(2-methoxyethoxy)ethoxy]ethyl]piperidine, [0437] 4-[2-[2-(2-methoxyethoxy)ethoxy]ethyl]morpholine, [0438] 1-[2-[2-(2-methoxyethoxy)ethoxy]ethyl]imidazole, [0439] 1-[2-[2-(2-methoxyethoxy)ethoxy]ethyl]benzimidazole, [0440] 1-[2-[2-(2-methoxyethoxy)ethoxy]ethyl]-2-phenylbenzimidazole, [0441] 1-[2-[2-(2-butoxyethoxy)ethoxy]ethyl]pyrrolidine, [0442] 1-[2-[2-(2-butoxyethoxy)ethoxy]ethyl]piperidine, [0443] 4-[2-[2-(2-butoxyethoxy)ethoxy]ethyl]morpholine, [0444] 1-[2-[2-(2-butoxyethoxy)ethoxy]ethyl]imidazole, [0445] 1-[2-[2-(2-butoxyethoxy)ethoxy]ethyl]benzimidazole, [0446] 1-[2-[2-(2-butoxyethoxy)ethoxy]ethyl]-2-phenylbenzimidazole, [0447] 1-[2-[2-[2-(2-methoxyethoxy)ethoxy]ethoxy]ethyl]pyrrolidine, [0448] 1-[2-[2-[2-(2-methoxyethoxy)ethoxy]ethoxy]ethyl]piperidine, [0449] 4-[2-[2-[2-(2-methoxyethoxy)ethoxy]ethoxy]ethyl]morpholine, [0450] 1-[2-[2-[2-(2-methoxyethoxy)ethoxy]ethoxy]ethyl]imidazole, [0451] 1-[2-[2-[2-(2-methoxyethoxy)ethoxy]ethoxy]ethyl]benzimidazole, [0452] 1-[2-[2-[2-(2-methoxyethoxy)ethoxy]ethoxy]ethyl]-2-phenyl-benzimidazole, [0453] 4-[2-{2-[2-(2-butoxyethoxy)ethoxy]ethoxy}ethyl]morpholine, [0454] 2-(1-pyrrolidinyl)ethyl acetate, 2-piperidinoethyl acetate, [0455] 2-morpholinoethyl acetate, 2-(1-imidazolyl)ethyl acetate, [0456] 2-(1-benzimidazolyl)ethyl acetate, [0457] 2-(2-phenyl-1-benzimidazolyl)ethyl acetate, [0458] 2-methoxyethyl morpholinoacetate, [0459] 2-(1-pyrrolidinyl)ethyl 2-methoxyacetate, [0460] 2-piperidinoethyl 2-methoxyacetate, [0461] 2-morpholinoethyl 2-methoxyacetate, [0462] 2-(1-imidazolyl)ethyl 2-methoxyacetate, [0463] 2-(1-benzimidazolyl)ethyl 2-methoxyacetate, [0464] 2-(2-phenyl-1-benzimidazolyl)ethyl 2-methoxyacetate, [0465] 2-(1-pyrrolidinyl)ethyl 2-(2-methoxyethoxy)acetate, [0466] 2-piperidinoethyl 2-(2-methoxyethoxy)acetate, [0467] 2-morpholinoethyl 2-(2-methoxyethoxy)acetate, [0468] 2-(1-imidazolyl)ethyl 2-(2-methoxyethoxy)acetate, [0469] 2-(1-benzimidazolyl)ethyl 2-(2-methoxyethoxy)acetate, [0470] 2-(2-phenyl-1-benzimidazolyl)ethyl 2-(2-methoxyethoxy)acetate, [0471] 2-(1-pyrrolidinyl)ethyl 2-[2-(2-methoxyethoxy)ethoxy]acetate, [0472] 2-piperidinoethyl 2-[2-(2-methoxyethoxy)ethoxy]acetate, [0473] 2-morpholinoethyl 2-[2-(2-methoxyethoxy)ethoxy]acetate, [0474] 2-(1-imidazolyl)ethyl 2-[2-(2-methoxyethoxy)ethoxy]acetate, [0475] 2-(1-benzimidazolyl)ethyl 2-[2-(2-methoxyethoxy)ethoxy]acetate, [0476] 2-(2-phenyl-1-benzimidazolyl)ethyl 2-[2-(2-methoxyethoxy)-ethoxy]acetate, [0477] 2-morpholinoethyl butyrate, 2-morpholinoethyl hexanoate, [0478] 2-morpholinoethyl octanoate, 2-morpholinoethyl decanoate, [0479] 2-morpholinoethyl laurate, 2-morpholinoethyl myristate, [0480] 2-morpholinoethyl palmitate, 2-morpholinoethyl stearate, [0481] 2-morpholinoethyl behenate, 2-morpholinoethyl cholate, [0482] 2-morpholinoethyl tris(O-acetyl)cholate, [0483] 2-morpholinoethyl tris(O-formyl)cholate, [0484] 2-morpholinoethyl dehydrocholate, [0485] 2-morpholinoethyl cyclopentanecarboxylate, [0486] 2-morpholinoethyl cyclohexanecarboxylate, [0487] 2-(1-pyrrolidinyl)ethyl 7-oxanorbornane-2-carboxylate, [0488] 2-piperidinoethyl 7-oxanorbornane-2-carboxylate, [0489] 2-morpholinoethyl 7-oxanorbornane-2-carboxylate, [0490] 2-(1-imidazolyl)ethyl 7-oxanorbornane-2-carboxylate, [0491] 2-(1-benzimidazolyl)ethyl 7-oxanorbornane-2-carboxylate, [0492] 2-(2-phenyl-1-benzimidazolyl)ethyl 7-oxanorbornane-2-carboxylate, [0493] 2-morpholinoethyl adamantanecarboxylate, [0494] 2-(1-pyrrolidinyl)ethyl formate, 2-piperidinoethyl propionate, [0495] 2-morpholinoethyl acetoxyacetate, [0496] 2-(1-pyrrolidinyl)ethyl methoxyacetate, [0497] 2-(1-pyrrolidinyl)ethyl benzoate, 2-piperidinoethyl benzoate, [0498] 2-morpholinoethyl benzoate, 2-(1-imidazolyl)ethyl benzoate, [0499] 2-(1-benzimidazolyl)ethyl benzoate, [0500] 2-(2-phenyl-1-benzimidazolyl)ethyl benzoate, [0501] 2-(1-pyrrolidinyl)ethyl 4-methoxybenzoate, [0502] 2-piperidinoethyl 4-methoxybenzoate, [0503] 2-morpholinoethyl 4-methoxybenzoate, [0504] 2-(1-imidazolyl)ethyl 4-methoxybenzoate, [0505] 2-(1-benzimidazolyl)ethyl 4-methoxybenzoate, [0506] 2-(2-phenyl-1-benzimidazolyl)ethyl 4-methoxybenzoate, [0507] 2-(1-pyrrolidinyl)ethyl 4-phenylbenzoate, [0508] 2-piperidinoethyl 4-phenylbenzoate, [0509] 2-morpholinoethyl 4-phenylbenzoate, [0510] 2-(1-imidazolyl)ethyl 4-phenylbenzoate, [0511] 2-(1-benzimidazolyl)ethyl 4-phenylbenzoate, [0512] 2-(2-phenyl-1-benzimidazolyl)ethyl 4-phenylbenzoate, [0513] 2-(1-pyrrolidinyl)ethyl 1-naphthalenecarboxylate, [0514] 2-piperidinoethyl 1-naphthalenecarboxylate, [0515] 2-morpholinoethyl 1-naphthalenecarboxylate, [0516] 2-(1-imidazolyl)ethyl 1-naphthalenecarboxylate, [0517] 2-(1-benzimidazolyl)ethyl 1-naphthalenecarboxylate, [0518] 2-(2-phenyl-1-benzimidazolyl)ethyl 1-naphthalenecarboxylate, [0519] 2-(1-pyrrolidinyl)ethyl 2-naphthalenecarboxylate, [0520] 2-piperidinoethyl 2-naphthalenecarboxylate, [0521] 2-morpholinoethyl 2-naphthalenecarboxylate, [0522] 2-(1-imidazolyl)ethyl 2-naphthalenecarboxylate, [0523] 2-(1-benzimidazolyl)ethyl 2-naphthalenecarboxylate, [0524] 2-(2-phenyl-1-benzimidazolyl)ethyl 2-naphthalenecarboxylate, [0525] 4-[2-(methoxycarbonyloxy)ethyl]morpholine, [0526] 1-[2-(t-butoxycarbonyloxy)ethyl]piperidine, [0527] 4-[2-(2-methoxyethoxycarbonyloxy)ethyl]morpholine, [0528] methyl 3-(1-pyrrolidinyl)propionate, [0529] methyl 3-piperidinopropionate, methyl 3-morpholinopropionate, [0530] methyl 3-(thiomorpholino)propionate, [0531] methyl 2-methyl-3-(1-pyrrolidinyl)propionate, [0532] ethyl 3-morpholinopropionate, [0533] methoxycarbonylmethyl 3-piperidinopropionate, [0534] 2-hydroxyethyl 3-(1-pyrrolidinyl)propionate, [0535] 2-acetoxyethyl 3-morpholinopropionate, [0536] 2-oxotetrahydrofuran-3-yl 3-(1-pyrrolidinyl)propionate, [0537] tetrahydrofurfuryl 3-morpholinopropionate, [0538] glycidyl 3-piperidinopropionate, [0539] 2-methoxyethyl 3-morpholinopropionate, [0540] 2-(2-methoxyethoxy)ethyl 3-(1-pyrrolidinyl)propionate, [0541] butyl 3-morpholinopropionate, [0542] cyclohexyl 3-piperidinopropionate, [0543] .alpha.-(1-pyrrolidinyl)methyl-.gamma.-butyrolactone, [0544] .beta.-piperidino-.gamma.-butyrolactone, .beta.-morpholino-.delta.-valerolactone, [0545] methyl 1-pyrrolidinylacetate, methyl piperidinoacetate, [0546] methyl morpholinoacetate, methyl thiomorpholinoacetate, [0547] ethyl 1-pyrrolidinylacetate, etc.

[0548] Illustrative examples of the cyano-bearing amine compounds include 3-(diethylamino)propiononitrile, [0549] N,N-bis(2-hydroxyethyl)-3-aminopropiononitrile, [0550] N,N-bis(2-acetoxyethyl)-3-aminopropiononitrile, [0551] N,N-bis(2-formyloxyethyl)-3-aminopropiononitrile, [0552] N,N-bis(2-methoxyethyl)-3-aminopropiononitrile, [0553] N,N-bis[2-(methoxymethoxy)ethyl]-3-aminopropiononitrile, [0554] methyl N-(2-cyanoethyl)-N-(2-methoxyethyl)-3-aminopropionate, [0555] methyl N-(2-cyanoethyl)-N-(2-hydroxyethyl)-3-aminopropionate, [0556] methyl N-(2-acetoxyethyl)-N-(2-cyanoethyl)-3-aminopropionate, [0557] N-(2-cyanoethyl)-N-ethyl-3-aminopropiononitrile, [0558] N-(2-cyanoethyl)-N-(2-hydroxyethyl)-3-aminopropiononitrile, [0559] N-(2-acetoxyethyl)-N-(2-cyanoethyl)-3-aminopropiononitrile, [0560] N-(2-cyanoethyl)-N-(2-formyloxyethyl)-3-aminopropiononitrile, [0561] N-(2-cyanoethyl)-N-(2-methoxyethyl)-3-aminopropiononitrile, [0562] N-(2-cyanoethyl)-N-[2-(methoxymethoxy)ethyl]-3-aminopropiono-nitrile, [0563] N-(2-cyanoethyl)-N-(3-hydroxy-1-propyl)-3-aminopropiononitrile, [0564] N-(3-acetoxy-1-propyl)-N-(2-cyanoethyl)-3-aminopropiononitrile, [0565] N-(2-cyanoethyl)-N-(3-formyloxy-1-propyl)-3-aminopropiono-nitrile, [0566] N-(2-cyanoethyl)-N-tetrahydrofurfuryl-3-aminopropiononitrile, [0567] N,N-bis(2-cyanoethyl)-3-aminopropiononitrile, [0568] diethylaminoacetonitrile, [0569] N,N-bis(2-hydroxyethyl)aminoacetonitrile, [0570] N,N-bis(2-acetoxyethyl)aminoacetonitrile, [0571] N,N-bis(2-formyloxyethyl)aminoacetonitrile, [0572] N,N-bis(2-methoxyethyl)aminoacetonitrile, [0573] N,N-bis[2-(methoxymethoxy)ethyl]aminoacetonitrile, [0574] methyl N-cyanomethyl-N-(2-methoxyethyl)-3-aminopropionate, [0575] methyl N-cyanomethyl-N-(2-hydroxyethyl)-3-aminopropionate, [0576] methyl N-(2-acetoxyethyl)-N-cyanomethyl-3-aminopropionate, [0577] N-cyanomethyl-N-(2-hydroxyethyl)aminoacetonitrile, [0578] N-(2-acetoxyethyl)-N-(cyanomethyl)aminoacetonitrile, [0579] N-cyanomethyl-N-(2-formyloxyethyl)aminoacetonitrile, [0580] N-cyanomethyl-N-(2-methoxyethyl)aminoacetonitrile, [0581] N-cyanomethyl-N-[2-(methoxymethoxy)ethyl)aminoacetonitrile, [0582] N-cyanomethyl-N-(3-hydroxy-1-propyl)aminoacetonitrile, [0583] N-(3-acetoxy-1-propyl)-N-(cyanomethyl)aminoacetonitrile, [0584] N-cyanomethyl-N-(3-formyloxy-1-propyl)aminoacetonitrile, [0585] N,N-bis(cyanomethyl)aminoacetonitrile, [0586] 1-pyrrolidinepropiononitrile, 1-piperidinepropiononitrile, [0587] 4-morpholinepropiononitrile, 1-pyrrolidineacetonitrile, [0588] 1-piperidineacetonitrile, 4-morpholineacetonitrile, [0589] cyanomethyl 3-diethylaminopropionate, [0590] cyanomethyl N,N-bis(2-hydroxyethyl)-3-aminopropionate, [0591] cyanomethyl N,N-bis(2-acetoxyethyl)-3-aminopropionate, [0592] cyanomethyl N,N-bis(2-formyloxyethyl)-3-aminopropionate, [0593] cyanomethyl N,N-bis(2-methoxyethyl)-3-aminopropionate, [0594] cyanomethyl N,N-bis[2-(methoxymethoxy)ethyl]-3-aminopropionate, [0595] 2-cyanoethyl 3-diethylaminopropionate, [0596] 2-cyanoethyl N,N-bis(2-hydroxyethyl)-3-aminopropionate, [0597] 2-cyanoethyl N,N-bis(2-acetoxyethyl)-3-aminopropionate, [0598] 2-cyanoethyl N,N-bis(2-formyloxyethyl)-3-aminopropionate, [0599] 2-cyanoethyl N,N-bis(2-methoxyethyl)-3-aminopropionate, [0600] 2-cyanoethyl N,N-bis[2-(methoxymethoxy)ethyl]-3-amino-propionate, [0601] cyanomethyl 1-pyrrolidinepropionate, [0602] cyanomethyl 1-piperidinepropionate, [0603] cyanomethyl 4-morpholinepropionate, [0604] 2-cyanoethyl 1-pyrrolidinepropionate, [0605] 2-cyanoethyl 1-piperidinepropionate, and [0606] 2-cyanoethyl 4-morpholinepropionate.

[0607] The quenchers may be used alone or in admixture of two or more. The quencher is preferably formulated in an amount of 0.001 to 5 parts, and especially 0.01 to 3 parts by weight, per 100 parts by weight of the base resin. Less than 0.001 phr of the quencher may achieve no addition effect whereas more than 5 phr may lead to too low a sensitivity.

[0608] Surfactant

[0609] Optionally, the resist composition of the invention may further comprise a surfactant which is commonly used for improving the coating characteristics.

[0610] Illustrative, non-limiting, examples of the surfactant include nonionic surfactants, for example, polyoxyethylene alkyl ethers such as polyoxyethylene lauryl ether, polyoxyethylene stearyl ether, polyoxyethylene cetyl ether, and polyoxyethylene oleyl ether, polyoxyethylene alkylaryl ethers such as polyoxyethylene octylphenol ether and polyoxyethylene nonylphenol ether, polyoxyethylene polyoxypropylene block copolymers, sorbitan fatty acid esters such as sorbitan monolaurate, sorbitan monopalmitate, and sorbitan monostearate, and polyoxyethylene sorbitan fatty acid esters such as polyoxyethylene sorbitan monolaurate, polyoxyethylene sorbitan monopalmitate, polyoxyethylene sorbitan monostearate, polyoxyethylene sorbitan trioleate, and polyoxyethylene sorbitan tristearate; fluorochemical surfactants such as EFTOP EF301, EF303 and EF352 (JEMCO Inc.), Megaface F171, F172, F173, R08 and R30 (Dai-Nippon Ink & Chemicals, Inc.), Fluorad FC-430, FC-431, FC-4430 and FC-4432 (Sumitomo 3M Co., Ltd.), Asahiguard AG710, Surflon S-381, S-382, SC101, SC102, SC103, SC104, SC105, SC106, Surfynol E1004, KH-10, KH-20, KH-30 and KH-40 (Asahi Glass Co., Ltd.); organosiloxane polymers KP341, X-70-092 and X-70-093 (Shin-Etsu Chemical Co., Ltd.), acrylic acid or methacrylic acid Polyflow No. 75 and No. 95 (Kyoeisha Ushi Kagaku Kogyo Co., Ltd.). Inter alia, FC-4430, Surflon S-381, Surfynol E1004, KH-20 and KH-30 are preferred. They may be used alone or in admixture.

[0611] In the chemically amplified resist composition, the surfactant is preferably formulated in an amount of up to 2 parts, and especially up to 1 part by weight, per 100 parts by weight of the base resin.

[0612] In one embodiment wherein the immersion lithography is applied to the resist composition of the invention, particularly in the absence of a resist protective film, the resist composition may have added thereto another surfactant having a propensity to segregate at the resist surface after spin coating for achieving a function of minimizing water penetration or leaching. The preferred other surfactant is a polymeric surfactant which is insoluble in water, but soluble in alkaline developer, and especially which is water repellent and enhances water slippage.

[0613] Suitable polymeric surfactants are shown below, but not limited thereto.

##STR00037##

Herein R.sup.01, R.sup.04, R.sup.07 and R.sup.014 are each independently hydrogen or methyl, R.sup.02, R.sup.03, R.sup.015 and R.sup.016 are each independently hydrogen, or straight, branched or cyclic C.sub.1-C.sub.20 alkyl or fluoroalkyl, R.sup.02 and R.sup.03 or R.sup.015 and R.sup.016 may bond together to form a non-aromatic ring with the carbon atom to which they are attached, and in the ring-forming case, R.sup.02 and R.sup.03, or R.sup.015 and R.sup.016 denote straight, branched or cyclic alkylene or fluoroalkylene groups having 2 to 20 carbon atoms in total,

[0614] R.sup.0 is fluorine or hydrogen or may bond with R.sup.05 to form a non-aromatic ring having 3 to 10 carbon atoms in total with the carbon atom to which they are attached, R.sup.05 is straight, branched or cyclic C.sub.1-C.sub.6 alkylene in which one or more hydrogen atoms may be substituted by fluorine, R.sup.06 is straight or branched C.sub.1-C.sub.10 alkyl in which one or more hydrogen atoms are substituted by fluorine, R.sup.05 and R.sup.06 may bond together to form a non-aromatic ring with the carbon atoms to which they are attached, and in the ring-forming case, R.sup.05 and R.sup.06 denote trivalent organic groups having 2 to 12 carbon atoms in total,

[0615] R.sup.08 is a single bond or C.sub.1-C.sub.4 alkylene, R.sup.010 and R.sup.011 are each independently hydrogen, fluorine, methyl or trifluoromethyl, R.sup.012 and R.sup.013 are each independently a single bond, --O-- or --CR.sup.018R.sup.019--, R.sup.09, R.sup.018 and R.sup.019 are hydrogen, fluorine, methyl or trifluoromethyl,

[0616] R.sup.017 is straight or branched C.sub.1-C.sub.4 alkylene, or may bond with R.sup.015 and R.sup.016 to form a non-aromatic ring with the carbon atom to which they are attached,

[0617] X.sup.1, X.sup.2 and X.sup.3 are each independently --C(.dbd.O)--O--, --O-- or --C(.dbd.O)--R.sup.020--C(.dbd.O)--O-- wherein R.sup.020 is straight, branched or cyclic C.sub.1-C.sub.10 alkylene,

[0618] .alpha.-1, .alpha.-2, .alpha.-3 and .beta. are numbers in the range: 0.ltoreq.(.alpha.-1)<1, 0.ltoreq.(.alpha.-2)<1, 0.ltoreq.(.alpha.-3)<1, 0<(.alpha.-1)+(.alpha.-2)+(.alpha.-3)<1, 0<.beta.<1, and 0<(.alpha.-1)+(.alpha.-2)+(.alpha.-3)+.beta..ltoreq.1.

[0619] To the resist composition, the polymeric surfactant is added in an amount of 0.001 to 20 parts, preferably 0.01 to 10 parts by weight, per 100 parts by weight of the base polymer.

[0620] While the resist composition of the invention typically comprises the hydrogenate ROMP polymer or base resin, acid generator, organic solvent, quencher and surfactant as described above, there may be added optional other ingredients such as dissolution inhibitors, acidic compounds, stabilizers, and dyes. Optional ingredients may be added in conventional amounts so long as this does not compromise the objects of the invention.

[0621] Other Polymer

[0622] To the resist composition of the invention, another polymer other than the inventive hydrogenated ROMP polymer may also be added. The other polymers that can be added to the resist composition are, for example, those polymers comprising units of the following formula (R1) and/or (R2) and having a weight average molecular weight of 1,000 to 500,000, especially 3,000 to 100,000 although the other polymers are not limited thereto.

##STR00038## ##STR00039##

[0623] Herein, R.sup.001 is hydrogen, methyl or CH.sub.2CO.sub.2R.sup.003. R.sup.002 is hydrogen, methyl or CO.sub.2R.sup.003. R.sup.003 is a straight, branched or cyclic C.sub.1-C.sub.15 alkyl group. R.sup.004 is hydrogen or a monovalent C.sub.1-C.sub.15 hydrocarbon group having a carboxyl or hydroxyl group. At least one of R.sup.005 to R.sup.008 represents a monovalent C.sub.1-C.sub.15 hydrocarbon group having a carboxyl or hydroxyl group while the remaining R's independently represent hydrogen or a straight, branched or cyclic C.sub.1-C.sub.15 alkyl group. Alternatively, a combination of R.sup.005 to R.sup.008 (e.g., a pair of R.sup.005 and R.sup.006, or R.sup.006 and R.sup.007) may bond together to form a ring with the carbon atom to which they are attached, and in that event, at least one of ring-forming R.sup.005 to R.sup.008 is a divalent C.sub.1-C.sub.15 hydrocarbon group having a carboxyl or hydroxyl group, while the remaining R's are independently single bonds or straight, branched or cyclic C.sub.1-C.sub.15 alkylene groups. R.sup.009 is a monovalent C.sub.3-C.sub.15 hydrocarbon group containing a --CO.sub.2-- partial structure. At least one of R.sup.010 to R.sup.013 is a monovalent C.sub.1-C.sub.15 hydrocarbon group containing a --CO.sub.2-- partial structure, while the remaining R's are independently hydrogen or straight, branched or cyclic C.sub.1-C.sub.15 alkyl groups. A combination of R.sup.010 to R.sup.013 (e.g., R.sup.010 and R.sup.011, or R.sup.011 and R.sup.012) may bond together to form a ring with the carbon atom to which they are attached, and in that event, at least one of ring-forming R.sup.010 to R.sup.013 is a divalent C.sub.1-C.sub.15 hydrocarbon group containing a --CO.sub.2-- partial structure, while the remaining R's are independently single bonds or straight, branched or cyclic C.sub.1-C.sub.15 alkylene groups. R.sup.014 is a polycyclic C.sub.1-C.sub.15 hydrocarbon group or an alkyl group containing a polycyclic hydrocarbon group. R.sup.015 is an acid labile group. R.sup.016 is hydrogen or methyl. R.sup.017 is a straight, branched or cyclic C.sub.1-C.sub.8 alkyl group. X is CH.sub.2 or an oxygen atom. Letter k' is 0 or 1; a1', a2', a3', b1', b2', b3', c1', c2', c3', d1', d2', d3', and e' are numbers from 0 to less than 1, satisfying a1'+a2'+a3'+b1'+b2'+b3'+c1'+c2'+c3'+d1'+d2'+d3'+e'=1; f', g', h', i', and j' are numbers from 0 to less than 1, satisfying f'+g'+h'+i'+j'=1.

[0624] The inventive polymer (hydrogenated ROMP polymer) and the other polymer are preferably blended in a weight ratio from 100:0 to 10:90, more preferably from 100:0 to 20:80. If the blend ratio of the inventive polymer is below this range, the resist composition would become poor in some of the desired properties. The properties of the resist composition can be adjusted by properly changing the blend ratio of the inventive polymer.

[0625] The other polymer is not limited to one type and a mixture of two or more other polymers may be added. The use of plural polymers allows for easy adjustment of resist properties.

Process

[0626] Pattern formation using the resist composition of the invention may be performed by well-known lithography processes. The process generally involves coating, heat treatment (or prebaking), exposure, heat treatment (post-exposure baking, PEB), and development. If necessary, any additional steps may be added.

[0627] For pattern formation, the resist composition is first applied onto a substrate (on which an integrated circuit is to be formed, e.g., Si, SiO.sub.2, SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflective coating, Cr, CrO, CrON, MoSi, etc.) by a suitable coating technique such as spin coating, roll coating, flow coating, dip coating, spray coating or doctor coating. The coating is prebaked on a hot plate at a temperature of 50 to 150.degree. C. for 1 to 10 minutes, preferably 60 to 140.degree. C. for 1 to 5 minutes. The resulting resist film is generally 0.01 to 2.0 .mu.m thick.

[0628] A relationship of a reduced thickness of resist film to an etch selectivity ratio between resist film and processable substrate imposes severer limits on the process. Under consideration is the tri-layer process in which a resist layer, a silicon-containing intermediate layer, an undercoat layer having a high carbon density and high etch resistance, and a processable substrate are laminated in sequence from top to bottom. On etching with oxygen gas, hydrogen gas, ammonia gas or the like, a high etch selectivity ratio is available between the silicon-containing intermediate layer and the undercoat layer, which allows for thickness reduction of the silicon-containing intermediate layer. A relatively high etch selectivity ratio is also available between the monolayer resist and the silicon-containing intermediate layer, which allows for thickness reduction of the monolayer resist. The method for forming the undercoat layer in this case includes a coating and baking method and a CVD method. In the case of coating, novolac resins and resins obtained by polymerization of fused ring-containing olefins are used. In the CVD film formation, reactant gases such as butane, ethane, propane, ethylene and acetylene are used. For the silicon-containing intermediate layer, either a coating method or a CVD method may be employed. The coating method uses silsesquioxane, polyhedral oligomeric silsesquioxane (POSS) and the like while the CVD method uses silane gases as the reactant. The silicon-containing intermediate layer may have an antireflection function with a light absorbing ability and have photo-absorptive groups like phenyl groups, or it may be a SiON film. An organic film may be formed between the silicon-containing intermediate layer and the photoresist, and the organic film in this case may be an organic antireflective coating. After the photoresist film is formed, deionized water rinsing (or post-soaking) may be carried out for extracting the photoacid generator and the like from the film surface or washing away particles, or a protective film may be coated.

[0629] With a mask having a desired pattern placed above the resist film, the resist film is then exposed to radiation such as UV, deep-UV, electron beam, x-ray, excimer laser light, .gamma.-ray and synchrotron radiation. The exposure dose is preferably about 1 to 200 mJ/cm.sup.2, more preferably about 10 to 100 mJ/cm.sup.2. The film is further baked on a hot plate at 60 to 150.degree. C. for 1 to 5 minutes, preferably at 80 to 120.degree. C. for 1 to 3 minutes (post-exposure baking=PEB). Thereafter the resist film is developed with a developer in the form of an aqueous base solution, for example, 0.1 to 5 wt %, preferably 2 to 3 wt % aqueous solution of tetramethylammonium hydroxide (TMAH) for 0.1 to 3 minutes, preferably 0.5 to 2 minutes by conventional techniques such as dip, puddle and spray techniques. In this way, a desired resist pattern is formed on the substrate. It is appreciated that the resist composition of the invention is suited for nano-scale patterning using such high-energy radiation as deep UV with a wavelength of 254 to 193 nm, vacuum UV with a wavelength of 157 nm, electron beam, soft x-ray, x-ray, excimer laser light, .gamma.-ray and synchrotron radiation, and best suited for nano-scale patterning using high-energy radiation in the wavelength range of 180 to 200 nm.

[0630] Immersion lithography can be applied to the resist composition of the invention. The ArF immersion lithography uses a liquid having a refractive index of at least 1 and highly transparent at the exposure wavelength such as deionized water or alkanes as the immersion solvent. The immersion lithography involves prebaking a resist film and exposing the resist film to light through a projection lens, with deionized water or similar liquid interposed between the resist film and the projection lens. Since this allows projection lenses to be designed to a numerical aperture (NA) of 1.0 or higher, formation of finer size patterns is possible. The immersion lithography is important for the ArF lithography to survive to the 45-nm node, with a further development thereof being accelerated. In the case of immersion lithography, deionized water rinsing (or post-soaking) may be carried out after exposure for removing water droplets left on the resist film, or a protective coating may be applied onto the resist film after pre-baking, for preventing any leach-out from the resist and improving water slip on the film surface. The resist protective coating used in the immersion lithography is preferably formed from a solution of a polymer having 1,1,1,3,3,3-hexafluoro-2-propanol residue which is insoluble in water, but soluble in an alkaline developer liquid, in a solvent selected from alcohols of at least 4 carbon atoms, ethers of 8 to 12 carbon atoms, and mixtures thereof.

[0631] The water immersion lithography using a NA 1.35 lens achieves an ultimate resolution of 40 to 38 nm at the maximum NA, but cannot reach 32 nm. Efforts have been made to develop higher refractive index materials in order to further increase NA. It is the minimum refractive index among projection lens, liquid, and resist film that determines the NA limit of lenses. In the case of water immersion, the refractive index of water is the lowest in comparison with the projection lens (refractive index 1.5 for synthetic quartz) and the resist film (refractive index 1.7 for prior art methacrylate-based film). Thus the NA of projection lens is determined by the refractive index of water. Recent efforts succeeded in developing a highly transparent liquid having a refractive index of 1.65. In this situation, the refractive index of projection lens made of synthetic quartz is the lowest, suggesting a need to develop a projection lens material with a higher refractive index. LuAG (lutetium aluminum garnet Lu.sub.3Al.sub.5O.sub.12) having a refractive index of at least 2 is the most promising material. The resist composition of the invention is applicable to immersion lithography using a high refractive index liquid.

[0632] The process that now draws attention as the technology for extending the life of the ArF lithography is a double patterning process involving a first set of exposure and development to form a first pattern and a second set of exposure and development to form a second pattern between features of the first pattern. See Proc. SPIE, Vol. 5754, p 1508 (2005). A number of double patterning processes have been proposed. One exemplary process involves a first set of exposure and development to form a photoresist pattern having lines and spaces at intervals of 1:3, processing the underlying layer of hard mask by dry etching, applying another layer of hard mask thereon, a second set of exposure and development of a photoresist film to form a line pattern in the spaces of the first exposure, and processing the hard mask by dry etching, thereby forming a line-and-space pattern at a half pitch of the first pattern. An alternative process involves a first set of exposure and development to form a photoresist pattern having spaces and lines at intervals of 1:3, processing the underlying layer of hard mask by dry etching, applying a photoresist layer thereon, a second set of exposure and development to form a second space pattern on the remaining hard mask portion, and processing the hard mask by dry etching. In either process, the hard mask is processed by two dry etchings.

[0633] While the former process requires two applications of hard mask, the latter process uses only one layer of hard mask, but requires to form a trench pattern which is difficult to resolve as compared with the line pattern. The latter process includes the use of a negative resist material in forming the trench pattern. This allows for use of high contrast light as in the formation of lines as a positive pattern. However, since the negative resist material has a lower dissolution contrast than the positive resist material, a comparison of the formation of lines from the positive resist material with the formation of a trench pattern of the same size from the negative resist material reveals that the resolution achieved with the negative resist material is lower. After a wide trench pattern is formed from the positive resist material by the latter process, there may be applied a thermal flow method of heating the substrate for shrinkage of the trench pattern, or a RELACS method of coating a water-soluble film on the trench pattern as developed and heating to induce crosslinking at the resist film surface for achieving shrinkage of the trench pattern. These have the drawbacks that the proximity bias is degraded and the process is further complicated, leading to reduced throughputs.

[0634] Both the former and latter processes require two etchings for substrate processing, leaving the issues of a reduced throughput and deformation and misregistration of the pattern by two etchings. One method that proceeds with a single etching is by using a negative resist material in a first exposure and a positive resist material in a second exposure. Another method is by using a positive resist material in a first exposure and a negative resist material in a higher alcohol of 4 or more carbon atoms, in which the positive resist material is not dissolvable, in a second exposure. However, these methods using negative resist materials with low resolution entail degradation of resolution.

[0635] If first exposure is followed by second exposure at a half-pitch shifted position, the optical energy of second exposure offsets the optical energy of first exposure so that the contrast becomes zero. If a contrast enhancement layer (CEL) is formed on the resist film, the incident light to the resist film becomes nonlinear so that the first and second exposures do not offset each other. Thus an image having a half pitch is formed. See Jpn. J. Appl. Phy. Vol. 33 (1994) p 6874-6877. It is expected that similar effects are produced by using an acid generator capable of two photon absorption to provide a nonlinear contrast.

[0636] The critical issue associated with double patterning is an overlay accuracy between first and second patterns. Since the magnitude of misregistration is reflected by a variation of line size, an attempt to form 32-nm lines at an accuracy of 10%, for example, requires an overlay accuracy within 3.2 nm. Since currently available scanners have an overlay accuracy of the order of 8 nm, a significant improvement in accuracy is necessary.

[0637] Now under investigation is the resist pattern freezing technology involving forming a first resist pattern on a substrate, taking any suitable means for insolubilizing the resist pattern with respect to the resist solvent and alkaline developer, applying a second resist thereon, and forming a second resist pattern in space portions of the first resist pattern. With this freezing technology, etching of the substrate is required only once, leading to improved throughputs and avoiding the problem of misregistration due to stress relaxation of the hard mask during etching. In the freezing technology, development efforts are focused on the step of forming a resist film on the first resist pattern and the optical or thermal step of insolubilizing the resist pattern. The resist composition of the invention is also applicable to such a process. Examples of light used for the freezing purpose include preferably light with a wavelength of up to 300 nm, more preferably up to 200 nm, specifically ArF excimer light of wavelength 193 nm, Xe.sub.2 excimer light of 172 nm, F.sub.2 excimer light of 157 nm, Kr.sub.2 excimer light of 146 nm, and Ar.sub.2 excimer light of 126 nm, and the exposure dose in the case of light is preferably in the range of 10 mJ/cm.sup.2 to 10 J/cm.sup.2. Irradiation from an excimer laser of sub-200 nm wavelength, especially 193 nm, 172 nm, 157 nm, 146 nm, and 122 nm, or an excimer lamp not only causes the photoacid generator to generate an acid, but also promotes photo-induced crosslinking reaction. In a further example where a thermal acid generator in the form of an ammonium salt is added to a photoresist composition, specifically in an amount of 0.001 to 20 parts, more specifically 0.01 to 10 parts by weight per 100 parts by weight of the base resin, an acid can be generated by heating. In this case, acid generation and crosslinking reaction proceed simultaneously. The preferred heating conditions include a temperature of 100 to 300.degree. C., and especially 130 to 250.degree. C., and a time of 10 to 300 seconds. As a result, a crosslinked resist film is formed which is insoluble in solvents and alkaline developers. The resist composition of the invention can be applied to the double patterning process discussed above.

[0638] The hydrogenated ROMP polymer of the invention is improved in light transmittance, dissolution in alkaline developer, and etching resistance and useful as a base resin in photoresist materials for the fabrication of microelectronic devices using UV or DUV. The resist composition comprising the polymer as a base resin lends itself to micropatterning with EB or DUV since it is sensitive to high-energy radiation and has excellent sensitivity, resolution, and etching resistance. Especially because of the minimized absorption at the exposure wavelength of an ArF or KrF excimer laser, a finely defined pattern having sidewalls perpendicular to the substrate can easily be formed. According to the method of the invention, any desired one of varying polymers within the scope of formula [1] can be simply synthesized in high yields by starting with a certain compound [2], and reacting it with an optimum one selected from a variety of alkylating agents, without a need for modifying the starting monomer. This enables to easily tailor the performance of resist material so as to meet the customer's demand. The invention is of great industrial worth.

EXAMPLE

[0639] Examples and Comparative Examples are given below by way of illustration and not by way of limitation.

Example 1

Synthesis of Polymer P-1

[0640] A mixture of 10.0 g of a polymer (P-0, Mw=8,860, Mw/Mn=1.93), 1.13 g of an alkylating agent (AA-1), 0.98 g of triethylamine, and 40.0 g of N,N-dimethylformamide was heated and stirred at 60.degree. C. for 24 hours. The reaction mixture was cooled, and the excess of triethylamine was neutralized with hydrochloric acid. This was followed by standard aqueous work-up and concentration, obtaining a crude polymer. A solution of the crude polymer in tetrahydrofuran was added to ultrapure water whereupon the polymer precipitated. Subsequent filtration, water washing and vacuum drying yielded 10.5 g of Polymer P-1 (yield 96%). On GPC analysis, the polymer had a weight average molecular weight Mw of 9,040 and a dispersity Mw/Mn of 1.89. FIG. 1 shows a .sup.1H-NMR spectrum (600 MHz, deuterated THF) of the polymer.

##STR00040##

Examples 2 to 8

Synthesis of Polymers P-2 to P-8

[0641] Polymers P-2 to P-8 were synthesized by the same procedure as in Example 1 aside from using the starting polymer and alkylating agent shown in Table 1.

TABLE-US-00001 TABLE 1 Yield, Starting Alkylating Example Polymer % MW Mn polymer agent 2 P-2 94 9,240 1.90 SP-1 AA-2 3 P-3 97 9,240 1.90 SP-1 AA-3 4 P-4 94 9,130 1.89 SP-1 AA-4 5 P-5 99 9,000 1.89 SP-2 AA-1 6 P-6 97 9,140 1.99 SP-2 AA-3 7 P-7 92 9,550 2.03 SP-3 AA-5 8 P-8 95 8,090 2.06 SP-4 AA-6 (P-2) ##STR00041## ##STR00042## ##STR00043## ##STR00044## (P-3) ##STR00045## ##STR00046## ##STR00047## ##STR00048## (P-4) ##STR00049## ##STR00050## ##STR00051## ##STR00052## (P-5) ##STR00053## ##STR00054## ##STR00055## ##STR00056## (P-6) ##STR00057## ##STR00058## ##STR00059## ##STR00060## (P-7) ##STR00061## ##STR00062## ##STR00063## (P-8) ##STR00064## ##STR00065## ##STR00066## ##STR00067## ##STR00068## (SP-2) ##STR00069## ##STR00070## ##STR00071## (SP-3) ##STR00072## ##STR00073## (SP-4) ##STR00074## ##STR00075## ##STR00076## ##STR00077## (AA-2) ##STR00078## (AA-3) ##STR00079## (AA-4) ##STR00080## (AA-5) ##STR00081## (AA-6) ##STR00082##

[0642] Resist compositions were formulated using the inventive polymers as the base resin and examined for resist properties.

Examples I-1 to I-8 and Comparative Examples II-1 to II-3

[0643] Resist compositions were prepared by using inventive polymers (P-1 to P-8) or comparative polymers (CP-1 to CP-3, shown below) as the base resin, and dissolving the polymer, an acid generator and a basic compound in a solvent in accordance with the formulation shown in Tables 2 and 3. These compositions were each filtered through a Teflon.RTM. filter with a pore diameter of 0.2 .mu.m, thereby giving resist solutions.

##STR00083## ##STR00084##

[0644] These resist solutions were spin-coated onto silicon wafers having an antireflective coating (ARC29A by Nissan Chemical Industries, Ltd.) of 78 nm thick coated thereon, then baked at 100.degree. C. to 130.degree. C. for 60 seconds to give resist films having a thickness of 250 nm. The resist films were exposed using an ArF excimer laser stepper (Nikon Corporation, NA 0.68), then baked (PEB) at 100.degree. C. to 130.degree. C. for 60 seconds, and puddle developed for 60 seconds with an aqueous solution of 2.38% tetramethylammonium hydroxide (TMAH), thereby forming 1:1 line-and-space patterns.

[0645] The wafer as developed was cut and the section observed under a scanning electron microscope (SEM). The optimum exposure (Eop, mJ/cm.sup.2) is defined as the exposure dose which provides a 1:1 resolution at the top and bottom of a 130 nm line-and-space pattern. The resolution of a resist under test is defined as the minimum line width (nm) of a line-and-space pattern that remains separated at the optimum exposure, with smaller values indicating better resolution. Also the dependency on exposure dose of line width of a 130 nm line-and-space pattern was examined. Provided that a line width of 130 nm .+-.10% (i.e., between 117 nm and 143 nm) was permissible, a ratio (%) of the exposure dose range providing the permissible line width to the optimum exposure dose is computed and reported as exposure latitude, with greater values indicating better. Patterns having lines of gradually narrowing width were formed by changing the exposure dose in increments, and the minimum line width above which the lines of 130 nm line-and-space pattern did not collapse was determined and reported as collapse margin, with smaller values being better. Furthermore, the lines of 130 nm line-and-space pattern were observed under SEM, and an RMS value of line roughness was computed and reported as line edge roughness (LER), with smaller values being better.

[0646] Table 2 shows the composition of resist materials in Examples, and their test results of resolution at optimum soft-baking (SB)/post-exposure baking (PEB) temperatures. Table 3 shows the composition and test results of resist materials in Comparative Examples. In Tables 2 and 3, the acid generator, quencher and solvent are as identified below. It is noted that the solvent contained 0.01 wt % of surfactant (Asahi Glass Co., Ltd.).

[0647] TPSNf: triphenylsulfonium nonafluorobutanesulfonate

[0648] Q1: tris(methoxymethoxyethyl)amine

[0649] CyHO: cyclohexanone

TABLE-US-00002 TABLE 2 Acid Organic SB/PEB Exposure Collapse Resin generator Quencher solvent temp. Eop Resolution latitude margin LER Example (pbw) (pbw) (pbw) (pbw) (.degree. C.) (mJ/cm.sup.2) (nm) (%) (nm) (nm) I-1 P-1 TPSNf Q1 CyHO 100/110 22.0 120 16 72 6.0 (80) (1) (0.236) (640) I-2 P-2 TPSNf Q1 CyHO 100/110 23.0 120 16 78 5.9 (80) (1) (0.236) (640) I-3 P-3 TPSNf Q1 CyHO 100/110 24.0 110 18 96 5.5 (80) (1) (0.236) (640) I-4 P-4 TPSNf Q1 CyHO 110/110 28.0 120 22 99 6.2 (80) (1) (0.236) (640) I-5 P-5 TPSNf Q1 CyHO 100/110 25.0 120 20 70 5.9 (80) (1) (0.236) (640) I-6 P-6 TPSNf Q1 CyHO 100/110 25.0 110 20 99 6.0 (80) (1) (0.236) (640) I-7 P-7 TPSNf Q1 CyHO 100/100 19.0 120 18 98 4.8 (80) (1) (0.236) (640) I-8 P-8 TPSNf Q1 CyHO 110/120 26.0 110 25 78 4.5 (80) (1) (0.236) (640)

TABLE-US-00003 TABLE 3 Acid Organic SB/PEB Exposure Collapse Comparative Resin generator Quencher solvent temp. Eop Resolution latitude margin LER Example (pbw) (pbw) (pbw) (pbw) (.degree. C.) (mJ/cm.sup.2) (nm) (%) (nm) (nm) II-1 CP-1 TPSNf TMMEA CyHO 100/110 26.0 120 10 102 6.5 (80) (1) (0.236) (640) II-2 CP-2 TPSNf TMMEA CyHO 100/115 22.0 120 16 99 6.3 (80) (1) (0.236) (640) II-3 CP-3 TPSNf TMMEA CyHO 110/120 23.0 120 14 104 5.9 (80) (1) (0.236) (640)

[0650] It is evident from Tables 2 and 3 that any desired resist factor can be readily tailored by a choice of an optimum structure among polymers varying within the scope of the invention. When resist materials are subject to ArF excimer laser lithography, various factors including resolution, exposure latitude, collapse margin, and LER can be improved as desired.

[0651] Japanese Patent Application No. 2008-080605 is incorporated herein by reference.

[0652] Although some preferred embodiments have been described, many modifications and variations may be made thereto in light of the above teachings. It is therefore to be understood that the invention may be practiced otherwise than as specifically described without departing from the scope of the appended claims.

* * * * *


uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed