loadpatents
name:-0.30075001716614
name:-0.35069394111633
name:-0.046396970748901
Wann; Clement Hsingjen Patent Filings

Wann; Clement Hsingjen

Patent Applications and Registrations

Patent applications and USPTO patent grants for Wann; Clement Hsingjen.The latest application filed is for "semiconductor device with reduced trap defect and method of forming the same".

Company Profile
48.200.200
  • Wann; Clement Hsingjen - Carmel NY
  • WANN; Clement Hsingjen - Hsinchu TW
  • Wann; Clement Hsingjen - New York NY
  • Wann; Clement Hsingjen - Hsin-Chu TW
  • - Carmel NY US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Forming STI regions to separate semiconductor Fins
Grant 11,450,661 - Hsu , et al. September 20, 2
2022-09-20
Method for manufacturing semiconductor structure
Grant 11,450,571 - Tsai , et al. September 20, 2
2022-09-20
Semiconductor structures and methods with high mobility and high energy bandgap materials
Grant 11,437,517 - Wu , et al. September 6, 2
2022-09-06
Gate spacer structures and methods for forming the same
Grant 11,437,493 - Tsai , et al. September 6, 2
2022-09-06
Semiconductor Device With Reduced Trap Defect And Method Of Forming The Same
App 20220262924 - TSAI; CHUN HSIUNG ;   et al.
2022-08-18
Method of manufacturing a semiconductor device
Grant 11,404,322 - Tsai , et al. August 2, 2
2022-08-02
Gate spacer structures and methods for forming the same
Grant 11,393,914 - Tsai , et al. July 19, 2
2022-07-19
Wrap-around contact on FinFET
Grant 11,362,000 - Wang , et al. June 14, 2
2022-06-14
Method and Structure for Semiconductor Interconnect
App 20220148977 - Hsiao; Ru-Shang ;   et al.
2022-05-12
Semiconductor device with reduced trap defect and method of forming the same
Grant 11,329,139 - Tsai , et al. May 10, 2
2022-05-10
Interconnect Layout for Semiconductor Device
App 20220102482 - Tsai; Chun-Hsiung ;   et al.
2022-03-31
Method Of Manufacturing Semiconductor Devices And Semiconductor Devices
App 20220045190 - LEE; Yi-Jing ;   et al.
2022-02-10
Semiconductor device and manufacturing method thereof
Grant 11,233,140 - Tsai , et al. January 25, 2
2022-01-25
Method and structure for semiconductor interconnect
Grant 11,232,943 - Hsiao , et al. January 25, 2
2022-01-25
Interconnect layout for semiconductor device
Grant 11,201,205 - Tsai , et al. December 14, 2
2021-12-14
Method For Manufacturing Semiconductor Structure
App 20210375694 - TSAI; CHUN HSIUNG ;   et al.
2021-12-02
Mechanism for FinFET Well Doping
App 20210358799 - Tsai; Chun Hsiung ;   et al.
2021-11-18
Semiconductor arrangement
Grant 11,177,368 - Chen , et al. November 16, 2
2021-11-16
Method Of Manufacturing A Semiconductor Device
App 20210351080 - TSAI; Chun Hsiung ;   et al.
2021-11-11
Integrated Circuit Fin Layout Method
App 20210342514 - HUANG; Po-Hsiang ;   et al.
2021-11-04
Fin structure of fin field effect transistor
Grant 11,158,725 - Yuan , et al. October 26, 2
2021-10-26
Method of manufacturing semiconductor devices and semiconductor devices
Grant 11,158,719 - Lee , et al. October 26, 2
2021-10-26
Method for manufacturing semiconductor structure
Grant 11,133,222 - Tsai , et al. September 28, 2
2021-09-28
Wrap-Around Contact on FinFET
App 20210272849 - Wang; Sung-Li ;   et al.
2021-09-02
Inter-level Connection For Multi-layer Structures
App 20210257356 - LIN; Yi-Tang ;   et al.
2021-08-19
Integrated circuit fin layout method, system, and structure
Grant 11,080,453 - Huang , et al. August 3, 2
2021-08-03
Mechanism for FinFET well doping
Grant 11,075,108 - Tsai , et al. July 27, 2
2021-07-27
Semiconductor Device Having Modified Profile Metal Gate
App 20210226029 - HUANG; Yu-Lien ;   et al.
2021-07-22
Semiconductor Structures and Methods of Forming Thereof
App 20210193837 - Wu; Cheng-Hsien ;   et al.
2021-06-24
Complimentary metal-oxide-semiconductor (CMOS) with low contact resistivity and method of forming same
Grant 10,978,451 - Wann , et al. April 13, 2
2021-04-13
Methods For Forming Semiconductor Structure
App 20210104619 - TSAI; CHUN HSIUNG ;   et al.
2021-04-08
Semiconductor device having modified profile metal gate
Grant 10,971,594 - Huang , et al. April 6, 2
2021-04-06
Self-aligned passivation of active regions
Grant 10,943,995 - Wann , et al. March 9, 2
2021-03-09
Systems and methods for a semiconductor structure having multiple semiconductor-device layers
Grant 10,916,469 - Lin , et al. February 9, 2
2021-02-09
Interconnect Layout For Semiconductor Device
App 20210036097 - Tsai; Chun-Hsiung ;   et al.
2021-02-04
FINFET Device Having a Channel Defined in a Diamond-Like Shape Semiconductor Structure
App 20210036131 - Lin; You-Ru ;   et al.
2021-02-04
Method Of Metal Gate Formation And Structures Formed By The Same
App 20210028069 - LEE; YI-JING ;   et al.
2021-01-28
Semiconductor Device With Reduced Trap Defect And Method Of Forming The Same
App 20210020761 - TSAI; CHUN HSIUNG ;   et al.
2021-01-21
Inter-level connection for multi-layer structures
Grant 10,879,235 - Lin , et al. December 29, 2
2020-12-29
III-V compound semiconductors in isolation regions and method forming same
Grant 10,879,065 - Ko , et al. December 29, 2
2020-12-29
Capacitor having multiple graphene structures
Grant 10,854,708 - Jou , et al. December 1, 2
2020-12-01
Methods for forming semiconductor structure
Grant 10,847,636 - Tsai , et al. November 24, 2
2020-11-24
Systems And Methods For A Semiconductor Structure Having Multiple Semiconductor-device Layers
App 20200365621 - Lin; Yi-Tang ;   et al.
2020-11-19
Semiconductor Device And Manufacturing Method Thereof
App 20200343339 - TSAI; Chun Hsiung ;   et al.
2020-10-29
Semiconductor Device And Manufacturing Method Thereof
App 20200343127 - WANN; Clement Hsingjen ;   et al.
2020-10-29
Semiconductor Device And Manufacturing Method Thereof
App 20200343373 - TSAI; Chun Hsiung ;   et al.
2020-10-29
Method and Structure for Semiconductor Interconnect
App 20200343088 - Hsiao; Ru-Shang ;   et al.
2020-10-29
Semiconductor Structures and Methods with High Mobility and High Energy Bandgap Materials
App 20200328307 - Wu; Cheng-Hsien ;   et al.
2020-10-15
Method of metal gate formation and structures formed by the same
Grant 10,804,163 - Lee , et al. October 13, 2
2020-10-13
Method of forming the gate electrode of field effect transistor
Grant 10,797,156 - Chen , et al. October 6, 2
2020-10-06
FinFET device having a channel defined in a diamond-like shape semiconductor structure
Grant 10,797,162 - Lin , et al. October 6, 2
2020-10-06
Wrap-Around Contact on FinFET
App 20200258784 - A1
2020-08-13
Gate Spacer Structures And Methods For Forming The Same
App 20200251571 - Kind Code
2020-08-06
Systems and methods for a semiconductor structure having multiple semiconductor-device layers
Grant 10,734,411 - Lin , et al.
2020-08-04
Semiconductor structures and methods with high mobility and high energy bandgap materials
Grant 10,727,351 - Wu , et al.
2020-07-28
Method Of Manufacturing Semiconductor Devices And Semiconductor Devices
App 20200176581 - LEE; Yi-Jing ;   et al.
2020-06-04
FinFET semiconductor device with germanium diffusion over silicon fins
Grant 10,665,674 - Lee , et al.
2020-05-26
Wrap-around contact on FinFET
Grant 10,651,091 - Wang , et al.
2020-05-12
Method For Manufacturing Semiconductor Structure
App 20200135586 - TSAI; CHUN HSIUNG ;   et al.
2020-04-30
Method Of Metal Gate Formation And Structures Formed By The Same
App 20200135589 - LEE; YI-JING ;   et al.
2020-04-30
Integrated Circuit Fin Layout Method, System, And Structure
App 20200134122 - HUANG; Po-Hsiang ;   et al.
2020-04-30
Systems and Methods for a Semiconductor Structure Having Multiple Semiconductor-Device Layers
App 20200126845 - Lin; Yi-Tang ;   et al.
2020-04-23
Method Of Forming The Gate Electrode Of Field Effect Transistor
App 20200127118 - CHEN; Neng-Kuo ;   et al.
2020-04-23
Complimentary Metal-Oxide-Semiconductor (CMOS) with Low Contact Resistivity and Method of Forming Same
App 20200119013 - Wann; Clement Hsingjen ;   et al.
2020-04-16
FinFET devices with unique shape and the fabrication thereof
Grant 10,622,261 - Lee , et al.
2020-04-14
Method For Manufacturing Semiconductor Structure
App 20200105618 - TSAI; CHUN HSIUNG ;   et al.
2020-04-02
Capacitor Having Multiple Graphene Structures
App 20200083318 - Jou; Chewn-Pu ;   et al.
2020-03-12
Methods For Forming Semiconductor Structure
App 20200075748 - TSAI; CHUN HSIUNG ;   et al.
2020-03-05
FinFET Semiconductor Device with Germanium Diffusion Over Silicon Fins
App 20200035789 - Lee; Yi-Jing ;   et al.
2020-01-30
System and method for test key characterizing wafer processing state
Grant 10,535,573 - Wann , et al. Ja
2020-01-14
Semiconductor Device Having Modified Profile Metal Gate
App 20200013869 - HUANG; Yu-Lien ;   et al.
2020-01-09
Systems and methods for a semiconductor structure having multiple semiconductor-device layers
Grant 10,515,846 - Lin , et al. Dec
2019-12-24
Method of forming the gate electrode of field effect transistor
Grant 10,516,031 - Chen , et al. Dec
2019-12-24
Complimentary metal-oxide-semiconductor (CMOS) with low contact resistivity and method of forming same
Grant 10,510,754 - Wann , et al. Dec
2019-12-17
Capacitor having multiple graphene structures
Grant 10,510,827 - Jou , et al. Dec
2019-12-17
FinFET semiconductor device with germanium diffusion over silicon fins
Grant 10,504,993 - Lee , et al. Dec
2019-12-10
Fin Structure Of Fin Field Effect Transistor
App 20190341473 - YUAN; Feng ;   et al.
2019-11-07
Method of fabricating a semiconductor device having modified profile metal gate
Grant 10,418,456 - Huang , et al. Sept
2019-09-17
Mechanism for FinFET Well Doping
App 20190273014 - Tsai; Chun Hsiung ;   et al.
2019-09-05
Wrap-Around Contact on FinFET
App 20190252261 - Wang; Sung-Li ;   et al.
2019-08-15
Semiconductor Structures and Methods with High Mobility and High Energy Bandgap Materials
App 20190252546 - Wu; Cheng-Hsien ;   et al.
2019-08-15
Semiconductor Arrangement
App 20190245061 - CHEN; Wei-Chieh ;   et al.
2019-08-08
System and Method for Test Key Characterizing Wafer Processing State
App 20190237370 - Wann; Clement Hsingjen ;   et al.
2019-08-01
Method of forming a fin field effect transistor comprising two etching steps to define a fin structure
Grant 10,355,108 - Yuan , et al. July 16, 2
2019-07-16
Fin structure of FinFET
Grant 10,333,001 - Huang , et al.
2019-06-25
Source/drain profile for FinFeT
Grant 10,326,021 - Ma , et al.
2019-06-18
Complimentary metal-oxide-semiconductor (CMOS) with low contact resistivity and method of forming same
Grant 10,304,826 - Wann , et al.
2019-05-28
Mechanism for FinFET well doping
Grant 10,297,492 - Tsai , et al.
2019-05-21
Self-Aligned Passivation of Active Regions
App 20190123179 - Wann; Clement Hsingjen ;   et al.
2019-04-25
FinFET Devices with Unique Shape and the Fabrication Thereof
App 20190122939 - Lee; Yi-Jing ;   et al.
2019-04-25
Semiconductor structures and methods with high mobility and high energy bandgap materials
Grant 10,269,969 - Wu , et al.
2019-04-23
FinFET low resistivity contact formation method
Grant 10,269,628 - Wang , et al.
2019-04-23
System and method for test key characterizing wafer processing state
Grant 10,269,666 - Wann , et al.
2019-04-23
Gradient ternary or quaternary multiple-gate transistor
Grant 10,269,970 - Ko , et al.
2019-04-23
Wrap-around contact on FinFET
Grant 10,269,649 - Wang , et al.
2019-04-23
Inter-level Connection For Multi-layer Structures
App 20190115341 - LIN; Yi-Tang ;   et al.
2019-04-18
FINFET Device Having A Channel Defined In A Diamond-Like Shape Semiconductor Structure
App 20190115453 - Lin; You-Ru ;   et al.
2019-04-18
Method of semiconductor arrangement formation
Grant 10,263,097 - Chen , et al.
2019-04-16
Complimentary Metal-Oxide-Semiconductor (CMOS) with Low Contact Resistivity and Method of Forming Same
App 20190096885 - Wann; Clement Hsingjen ;   et al.
2019-03-28
Fin structure of semiconductor device
Grant 10,186,602 - Chen , et al. Ja
2019-01-22
FinFET devices with unique fin shape and the fabrication thereof
Grant 10,170,375 - Lee , et al. J
2019-01-01
Systems and Methods for a Semiconductor Structure Having Multiple Semiconductor-Device Layers
App 20180374742 - Lin; Yi-Tang ;   et al.
2018-12-27
FinFET device having a channel defined in a diamond-like shape semiconductor structure
Grant 10,164,062 - Lin , et al. Dec
2018-12-25
Inter-level connection for multi-layer structures
Grant 10,163,897 - Lin , et al. Dec
2018-12-25
Self-aligned passivation of active regions
Grant 10,164,070 - Wann , et al. Dec
2018-12-25
FinFETs with strained well regions
Grant 10,164,023 - Lee , et al. Dec
2018-12-25
FinFETs with strained well regions
Grant 10,158,015 - Lee , et al. Dec
2018-12-18
Capacitor Having a Graphene Structure, Semiconductor Device Including the Capacitor and Method of Forming the Same
App 20180350898 - Jou; Chewn-Pu ;   et al.
2018-12-06
Systems And Methods For A Semiconductor Structure Having Multiple Semiconductor-device Layers
App 20180337198 - Lin; Yi-Tang ;   et al.
2018-11-22
Systems and methods for a semiconductor structure having multiple semiconductor-device layers
Grant 10,128,269 - Lin , et al. November 13, 2
2018-11-13
Semiconductor structure and the manufacturing method thereof
Grant 10,115,826 - Lee , et al. October 30, 2
2018-10-30
Self-aligned dual-metal silicide and germanide formation
Grant 10,115,597 - Tsai , et al. October 30, 2
2018-10-30
Self-Aligned Passivation of Active Regions
App 20180308955 - Wann; Clement Hsingjen ;   et al.
2018-10-25
High-mobility multiple-gate transistor with improved on-to-off current ratio
Grant 10,109,748 - Ko , et al. October 23, 2
2018-10-23
Method of forming strained structures of semiconductor devices
Grant 10,096,710 - Wu , et al. October 9, 2
2018-10-09
Source/Drain Profile for FinFET
App 20180277680 - Ma; Ta-Chun ;   et al.
2018-09-27
Methods for Forming STI Regions in Integrated Circuits
App 20180247935 - Hsu; Chih-Yu ;   et al.
2018-08-30
Systems and methods for a semiconductor structure having multiple semiconductor-device layers
Grant 10,062,601 - Lin , et al. August 28, 2
2018-08-28
Capacitor having a graphene structure, semiconductor device including the capacitor and method of forming the same
Grant 10,050,104 - Jou , et al. August 14, 2
2018-08-14
Contact structure of semiconductor device
Grant 10,043,908 - Wann , et al. August 7, 2
2018-08-07
Novel Fin Structure of FinFET
App 20180219095 - Huang; Gin-Chen ;   et al.
2018-08-02
Wrap-Around Contact on FinFET
App 20180219077 - Wang; Sung-Li ;   et al.
2018-08-02
Self-aligned passivation of active regions
Grant 10,032,889 - Wann , et al. July 24, 2
2018-07-24
Isolation structure of semiconductor device
Grant 10,026,641 - Chen , et al. July 17, 2
2018-07-17
Growing a III-V layer on silicon using aligned nano-scale patterns
Grant 10,020,189 - Ko , et al. July 10, 2
2018-07-10
Growing III-V Compound Semiconductors from Trenches Filled with Intermediate Layers
App 20180151359 - Ko; Chih-Hsin ;   et al.
2018-05-31
Source/drain profile for FinFET
Grant 9,985,131 - Ma , et al. May 29, 2
2018-05-29
Curved wafer processing method and apparatus
Grant 9,978,630 - Chang , et al. May 22, 2
2018-05-22
Wrap-around contact on FinFET
Grant 9,941,367 - Wang , et al. April 10, 2
2018-04-10
Systems and methods for integrating different channel materials into a CMOS circuit by using a semiconductor structure having multiple transistor layers
Grant 9,929,158 - Lin , et al. March 27, 2
2018-03-27
Semiconductor logic circuits fabricated using multi-layer structures
Grant 9,929,133 - Lin , et al. March 27, 2
2018-03-27
Fin structure of FinFET
Grant 9,929,272 - Huang , et al. March 27, 2
2018-03-27
FinFETs with Strained Well Regions
App 20180083103 - Lee; Yi-Jing ;   et al.
2018-03-22
Method of forming a semiconductor structure
Grant 9,922,827 - Yao , et al. March 20, 2
2018-03-20
Method Of Forming The Gate Electrode Of Field Effect Transistor
App 20180069094 - CHEN; Neng-Kuo ;   et al.
2018-03-08
Method of making a finFET device
Grant 9,899,496 - Sun , et al. February 20, 2
2018-02-20
Methods of forming gate dielectric material
Grant 9,893,160 - Yao , et al. February 13, 2
2018-02-13
Silicon germanium source/drain regions
Grant 9,887,290 - Tsai , et al. February 6, 2
2018-02-06
FinFETs and methods for forming the same
Grant 9,887,274 - Huang , et al. February 6, 2
2018-02-06
Isolation Structure of Semiconductor Device
App 20180033678 - Chen; Shu-Han ;   et al.
2018-02-01
Method Of Semiconductor Arrangement Formation
App 20180026116 - CHEN; Wei-Chieh ;   et al.
2018-01-25
FinFETs with nitride liners and methods of forming the same
Grant 9,870,956 - Chen , et al. January 16, 2
2018-01-16
Growing III-V compound semiconductors from trenches filled with intermediate layers
Grant 9,870,920 - Ko , et al. January 16, 2
2018-01-16
Gradient Ternary or Quaternary Multiple-Gate Transistor
App 20180006156 - Ko; Chih-Hsin ;   et al.
2018-01-04
FinFETs with strained well regions
Grant 9,859,380 - Lee , et al. January 2, 2
2018-01-02
Method Of Forming Strained Structures Of Semiconductor Devices
App 20170352760 - WU; Cheng-Hsien ;   et al.
2017-12-07
FinFETs with Strained Well Regions
App 20170352596 - Lee; Yi-Jing ;   et al.
2017-12-07
Channel epitaxial regrowth flow (CRF)
Grant 9,831,322 - Fu , et al. November 28, 2
2017-11-28
Method of forming the gate electrode of field effect transistor
Grant 9,812,551 - Chen , et al. November 7, 2
2017-11-07
Systems and Methods for a Semiconductor Structure Having Multiple Semiconductor-Device Layers
App 20170309642 - Lin; Yi-Tang ;   et al.
2017-10-26
Isolation structure of semiconductor device
Grant 9,786,543 - Chen , et al. October 10, 2
2017-10-10
Systems and Methods for a Semicdonductor Structure Having Multiple Semiconductor-Device Layers
App 20170287773 - Lin; Yi-Tang ;   et al.
2017-10-05
Methods for forming semiconductor regions in trenches
Grant 9,780,174 - Lee , et al. October 3, 2
2017-10-03
Combination FinFET and methods of forming same
Grant 9,780,216 - Huang , et al. October 3, 2
2017-10-03
Method of semiconductor arrangement formation
Grant 9,773,889 - Chen , et al. September 26, 2
2017-09-26
Systems and methods for a semiconductor structure having multiple semiconductor-device layers
Grant 9,773,809 - Lin , et al. September 26, 2
2017-09-26
Method of Fabricating a Semiconductor Device Having Modified Profile Metal Gate
App 20170271469 - Huang; Yu-Lien ;   et al.
2017-09-21
Gradient ternary or quaternary multiple-gate transistor
Grant 9,768,305 - Ko , et al. September 19, 2
2017-09-19
Method of forming strained structures of semiconductor devices
Grant 9,748,388 - Wu , et al. August 29, 2
2017-08-29
FinFETs with strained well regions
Grant 9,748,143 - Lee , et al. August 29, 2
2017-08-29
Growing a III-V Layer on Silicon using Aligned Nano-Scale Patterns
App 20170194141 - Ko; Chih-Hsin ;   et al.
2017-07-06
Germanium FinFETs with metal gates and stressors
Grant 9,698,060 - Yeh , et al. July 4, 2
2017-07-04
Semiconductor Structures and Methods with High Mobility and High Energy Bandgap Materials
App 20170186871 - Wu; Cheng-Hsien ;   et al.
2017-06-29
FinFETs with Strained Well Regions
App 20170179291 - Lee; Yi-Jing ;   et al.
2017-06-22
FinFet Low Resistivity Contact Formation Method
App 20170170061 - Wang; Sung-Li ;   et al.
2017-06-15
High-Mobility Multiple-Gate Transistor with Improved On-to-Off Current Ratio
App 20170170335 - Ko; Chih-Hsin ;   et al.
2017-06-15
Method Of Forming The Gate Electrode Of Field Effect Transistor
App 20170162669 - CHEN; Neng-Kuo ;   et al.
2017-06-08
Semiconductor device having modified profile metal gate
Grant 9,673,292 - Huang , et al. June 6, 2
2017-06-06
Self-Aligned Dual-Metal Silicide and Germanide Formation
App 20170140942 - Tsai; Chun Hsiung ;   et al.
2017-05-18
Systems and methods for a semiconductor structure having multiple semiconductor-device layers
Grant 9,646,872 - Lin , et al. May 9, 2
2017-05-09
Finfet Devices With Unique Fin Shape And The Fabrication Thereof
App 20170125307 - Lee; Yi-Jing ;   et al.
2017-05-04
Fin Structure Of Fin Field Effect Transistor
App 20170117388 - YUAN; Feng ;   et al.
2017-04-27
System and methods for converting planar design to FinFET design
Grant 9,634,001 - Wann , et al. April 25, 2
2017-04-25
Replacement channels for semiconductor devices and methods for forming the same using dopant concentration boost
Grant 9,627,539 - Huang , et al. April 18, 2
2017-04-18
Methods for probing semiconductor fins through four-point probe and determining carrier concentrations
Grant 9,627,280 - Wann , et al. April 18, 2
2017-04-18
FinFET Semiconductor Device with Germanium Diffusion Over Silicon Fins
App 20170104067 - Lee; Yi-Jing ;   et al.
2017-04-13
Semiconductor device manufacturing methods and methods of forming insulating material layers
Grant 9,607,826 - Huang , et al. March 28, 2
2017-03-28
Semiconductor Structure And The Manufacturing Method Thereof
App 20170084746 - LEE; YI-JING ;   et al.
2017-03-23
Semiconductor device with enhanced strain
Grant 9,601,594 - Wu , et al. March 21, 2
2017-03-21
Growing a III-V layer on silicon using aligned nano-scale patterns
Grant 9,601,328 - Ko , et al. March 21, 2
2017-03-21
FinFETs with strained well regions
Grant 9,601,342 - Lee , et al. March 21, 2
2017-03-21
Semiconductor structures and methods with high mobility and high energy bandgap materials
Grant 9,595,614 - Wu , et al. March 14, 2
2017-03-14
FINFET Device Having a Channel Defined in a Diamond-Like Shape Semiconductor Structure
App 20170069736 - Lin; You-Ru ;   et al.
2017-03-09
High-mobility multiple-gate transistor with improved on-to-off current ratio
Grant 9,590,068 - Ko , et al. March 7, 2
2017-03-07
Contact structure of semiconductor device
Grant 9,589,838 - Wang , et al. March 7, 2
2017-03-07
Gate electrode of field effect transistor
Grant 9,589,803 - Chen , et al. March 7, 2
2017-03-07
Inverted trapezoidal recess for epitaxial growth
Grant 9,583,379 - Ko , et al. February 28, 2
2017-02-28
Method of making a strained structure of a semiconductor device
Grant 9,577,071 - Lee , et al. February 21, 2
2017-02-21
Self-aligned dual-metal silicide and germanide formation
Grant 9,559,182 - Wann , et al. January 31, 2
2017-01-31
Semiconductor device with a strained region and method of making
Grant 9,553,149 - Lee , et al. January 24, 2
2017-01-24
Semiconductor structure and the manufacturing method thereof
Grant 9,553,012 - Lee , et al. January 24, 2
2017-01-24
Selective Fin-shaping process
Grant 9,553,025 - Wann , et al. January 24, 2
2017-01-24
Fin Structure of Semiconductor Device
App 20170018629 - Chen; Yen-Yu ;   et al.
2017-01-19
FinFET devices with unique fin shape and the fabrication thereof
Grant 9,548,303 - Lee , et al. January 17, 2
2017-01-17
Systems And Methods For Integrating Different Channel Materials Into A Cmos Circuit By Using A Semiconductor Structure Having Multiple Transistor Layers
App 20170012045 - LIN; YI-TANG ;   et al.
2017-01-12
Fin-last FinFET and methods of forming same
Grant 9,543,301 - Huang , et al. January 10, 2
2017-01-10
High mobility devices and methods of forming same
Grant 9,543,417 - Su , et al. January 10, 2
2017-01-10
Novel Fin Structure of FinFet
App 20160380103 - Huang; Gin-Chen ;   et al.
2016-12-29
Systems And Methods For A Semiconductor Structure Having Multiple Semiconductor-device Layers
App 20160379994 - LIN; YI-TANG ;   et al.
2016-12-29
Passivation structure of fin field effect transistor
Grant 9,530,710 - Chen , et al. December 27, 2
2016-12-27
FinFET semiconductor device with germanium diffusion over silicon fins
Grant 9,530,776 - Lee , et al. December 27, 2
2016-12-27
System and Method for Test Key Characterizing Wafer Processing State
App 20160372390 - Wann; Clement Hsingjen ;   et al.
2016-12-22
FinFETs with Strained Well Regions
App 20160372579 - Lee; Yi-Jing ;   et al.
2016-12-22
Method for fabricating fin field effect transistors
Grant 9,525,049 - Wann , et al. December 20, 2
2016-12-20
Device having source/drain regions regrown from un-relaxed silicon layer
Grant 9,508,849 - Wann , et al. November 29, 2
2016-11-29
Wrap-Around Contact on FinFET
App 20160343815 - Wang; Sung-Li ;   et al.
2016-11-24
Self-Aligned Passivation of Active Regions
App 20160343832 - Wann; Clement Hsingjen ;   et al.
2016-11-24
FINFET device having a channel defined in a diamond-like shape semiconductor structure
Grant 9,502,539 - Lin , et al. November 22, 2
2016-11-22
Mechanism for FinFET Well Doping
App 20160329331 - Tsai; Chun Hsiung ;   et al.
2016-11-10
FinFETs with Nitride Liners and Methods of Forming the Same
App 20160329329 - Chen; Neng-Kuo ;   et al.
2016-11-10
Fin structure of fin field effect transistor
Grant 9,484,462 - Yuan , et al. November 1, 2
2016-11-01
Fin structure of semiconductor device
Grant 9,472,652 - Chen , et al. October 18, 2
2016-10-18
Eliminating fin mismatch using isolation last
Grant 9,472,672 - Lin , et al. October 18, 2
2016-10-18
Channel Epitaxial Regrowth Flow (CRF)
App 20160300931 - Fu; Ching-Feng ;   et al.
2016-10-13
Systems and methods for integrating different channel materials into a CMOS circuit by using a semiconductor structure having multiple transistor layers
Grant 9,461,069 - Lin , et al. October 4, 2
2016-10-04
FinFETs with strained well regions
Grant 9,455,320 - Lee , et al. September 27, 2
2016-09-27
Fin field-effect transistors having controlled fin height
Grant 9,455,325 - Wann , et al. September 27, 2
2016-09-27
Methods for Probing Semiconductor Fins and Determining Carrier Concentrations
App 20160268174 - Wann; Clement Hsingjen ;   et al.
2016-09-15
Systems and methods for a semiconductor structure having multiple semiconductor-device layers
Grant 9,443,869 - Lin , et al. September 13, 2
2016-09-13
Wrap-around contact
Grant 9,443,769 - Wang , et al. September 13, 2
2016-09-13
Fin structure of FinFet
Grant 9,443,964 - Huang , et al. September 13, 2
2016-09-13
Contact Structure Of Semiconductor Device
App 20160254383 - Wann; Clement Hsingjen ;   et al.
2016-09-01
System and method for test key characterizing wafer processing state
Grant 9,431,288 - Wann , et al. August 30, 2
2016-08-30
FinFETs and Methods for Forming the Same
App 20160247900 - Huang; Yu-Lien ;   et al.
2016-08-25
Self-aligned passivation of active regions
Grant 9,412,847 - Wann , et al. August 9, 2
2016-08-09
Mechanism for FinFET well doping
Grant 9,406,546 - Tsai , et al. August 2, 2
2016-08-02
CMOS devices with reduced leakage and methods of forming the same
Grant 9,390,982 - Ko , et al. July 12, 2
2016-07-12
Channel epitaxial regrowth flow (CRF)
Grant 9,391,203 - Fu , et al. July 12, 2
2016-07-12
Semiconductor device having high-K gate dielectric layer
Grant 9,385,208 - Lee , et al. July 5, 2
2016-07-05
Contact structure of semiconductor device
Grant 9,379,108 - Wann , et al. June 28, 2
2016-06-28
Semiconductor device and method of forming the same
Grant 9,373,549 - Wann , et al. June 21, 2
2016-06-21
Self aligned contact formation
Grant 9,368,446 - Chen , et al. June 14, 2
2016-06-14
Structure and method for integrated devices on different substartes with interfacial engineering
Grant 9,362,123 - Yao , et al. June 7, 2
2016-06-07
Method Of Forming Strained Structures Of Semiconductor Devices
App 20160155801 - WU; Cheng-Hsien ;   et al.
2016-06-02
Method For Fabricating Fin Field Effect Transistors
App 20160155826 - Wann; Clement Hsingjen ;   et al.
2016-06-02
Germanium FinFETs with Metal Gates and Stressors
App 20160155668 - Yeh; Chih Chieh ;   et al.
2016-06-02
FinFETs and methods for forming the same
Grant 9,349,841 - Huang , et al. May 24, 2
2016-05-24
Methods for probing semiconductor fins and determining carrier concentrations therein
Grant 9,349,659 - Wann , et al. May 24, 2
2016-05-24
High Mobility Devices and Methods of Forming Same
App 20160133746 - Su; Huan-Chieh ;   et al.
2016-05-12
Method for removing hard mask oxide and making gate structure of semiconductor devices
Grant 9,337,103 - Lin , et al. May 10, 2
2016-05-10
Contact structure of semiconductor device
Grant 9,337,285 - Wann , et al. May 10, 2
2016-05-10
Method of making semiconductor device
Grant 9,337,304 - Wu , et al. May 10, 2
2016-05-10
Structure and method for high performance interconnect
Grant 9,330,970 - Wann , et al. May 3, 2
2016-05-03
Self-Aligned Dual-Metal Silicide and Germanide Formation
App 20160099331 - Wann; Clement Hsingjen ;   et al.
2016-04-07
Microwave anneal (MWA) for defect recovery
Grant 9,299,587 - Tsai , et al. March 29, 2
2016-03-29
Isolation Structure of Semiconductor Device
App 20160086840 - Chen; Shu-Han ;   et al.
2016-03-24
CMOS Devices with Reduced Leakage and Methods of Forming the Same
App 20160086862 - Ko; Chih-Hsin ;   et al.
2016-03-24
Semiconductor Device Having Modified Profile Metal Gate
App 20160079383 - Huang; Yu-Lien ;   et al.
2016-03-17
Systems And Methods For Integrating Different Channel Materials Into A Cmos Circuit By Using A Semiconductor Structure Having Multiple Transistor Layers
App 20160071885 - LIN; YI-TANG ;   et al.
2016-03-10
Inverted Trapezoidal Recess for Epitaxial Growth
App 20160064271 - Ko; Chih-Hsin ;   et al.
2016-03-03
Growing III-V Compound Semiconductors from Trenches Filled with Intermediate Layers
App 20160049299 - Ko; Chih-Hsin ;   et al.
2016-02-18
Method of protecting an interlayer dielectric layer and structure formed thereby
Grant 9,263,252 - Chang , et al. February 16, 2
2016-02-16
Method of scavenging impurities in forming a gate stack having an interfacial layer
Grant 9,257,349 - Liu , et al. February 9, 2
2016-02-09
Method for fabricating fin field effect transistors
Grant 9,257,343 - Wann , et al. February 9, 2
2016-02-09
Method Of Making A Strained Structure Of A Semiconductor Device
App 20160027897 - LEE; Tsung-Lin ;   et al.
2016-01-28
Germanium FinFETs with metal gates and stressors
Grant 9,245,805 - Yeh , et al. January 26, 2
2016-01-26
Strained structures of semiconductor devices
Grant 9,246,004 - Wu , et al. January 26, 2
2016-01-26
Semiconductor structure having interfacial layer and high-k dielectric layer
Grant 9,245,970 - Yao , et al. January 26, 2
2016-01-26
Method Of Semiconductor Arrangement Formation
App 20160020302 - Chen; Wei-Chieh ;   et al.
2016-01-21
Strained structure of a semiconductor device
Grant 9,236,253 - Chen , et al. January 12, 2
2016-01-12
Contact Structure Of Semiconductor Device
App 20160005825 - Wann; Clement Hsingjen ;   et al.
2016-01-07
Fin-Last FinFET and Methods of Forming Same
App 20150380412 - Huang; Yu-Lien ;   et al.
2015-12-31
FinFETs with Strained Well Regions
App 20150380528 - Lee; Yi-Jing ;   et al.
2015-12-31
CMOS devices with reduced leakage and methods of forming the same
Grant 9,224,734 - Lee , et al. December 29, 2
2015-12-29
Self-aligned dual-metal silicide and germanide formation
Grant 9,214,556 - Wann , et al. December 15, 2
2015-12-15
Growing III-V compound semiconductors from trenches filled with intermediate layers
Grant 9,209,023 - Wann , et al. December 8, 2
2015-12-08
Isolation structure of semiconductor device
Grant 9,209,066 - Chen , et al. December 8, 2
2015-12-08
Systems and methods for integrating different channel materials into a CMOS circuit by using a semiconductor structure having multiple transistor layers
Grant 9,209,201 - Lin , et al. December 8, 2
2015-12-08
Semiconductor device having modified profile metal gate
Grant 9,202,691 - Huang , et al. December 1, 2
2015-12-01
Passivation Structure of Fin Field Effect Transistor
App 20150340302 - Chen; Yen-Yu ;   et al.
2015-11-26
Methods for forming semiconductor regions in trenches
Grant 9,196,709 - Lee , et al. November 24, 2
2015-11-24
Stress analysis of 3-D structures using tip-enhanced Raman scattering technology
Grant 9,194,804 - Yao , et al. November 24, 2
2015-11-24
Inverted trapezoidal recess for epitaxial growth
Grant 9,184,050 - Wann , et al. November 10, 2
2015-11-10
Methods for Forming Semiconductor Regions in Trenches
App 20150318382 - Lee; Yi-Jing ;   et al.
2015-11-05
Reverse tone STI formation and epitaxial growth of semiconductor between STI regions
Grant 9,177,792 - Chang , et al. November 3, 2
2015-11-03
Novel Fin Structure of FinFet
App 20150311321 - Huang; Gin-Chen ;   et al.
2015-10-29
Source/Drain Profile for FinFET
App 20150311340 - Ma; Ta-Chun ;   et al.
2015-10-29
Strained structure of semiconductor device and method of making the strained structure
Grant 9,171,929 - Lee , et al. October 27, 2
2015-10-27
Contact Structure of Semiconductor Device
App 20150303106 - Wang; Sung-Li ;   et al.
2015-10-22
Wrap-Around Contact
App 20150303118 - Wang; Sung-Li ;   et al.
2015-10-22
Microwave Anneal (MWA) for Defect Recovery
App 20150294881 - Tsai; Chun Hsiung ;   et al.
2015-10-15
FinFETs with strained well regions
Grant 9,159,824 - Lee , et al. October 13, 2
2015-10-13
Calculating Carrier Concentrations in Semiconductor Fins Using Probed Resistance
App 20150287652 - Wann; Clement Hsingjen ;   et al.
2015-10-08
Fin-last finFET and methods of forming same
Grant 9,153,695 - Huang , et al. October 6, 2
2015-10-06
Method Of Making Semiconductor Device
App 20150279965 - WU; Cheng-Hsien ;   et al.
2015-10-01
Curved Wafer Processing Method and Apparatus
App 20150270153 - Chang; I-Ming ;   et al.
2015-09-24
Combination FinFET and Methods of Forming Same
App 20150270401 - Huang; Yu-Lien ;   et al.
2015-09-24
Passivation structure of fin field effect transistor
Grant 9,142,474 - Chen , et al. September 22, 2
2015-09-22
FinFETs with Strained Well Regions
App 20150263093 - Lee; Yi-Jing ;   et al.
2015-09-17
FinFET Devices with Unique Fin Shape and the Fabrication Thereof
App 20150263003 - Lee; Yi-Jing ;   et al.
2015-09-17
Contact structure of semiconductor device
Grant 9,136,383 - Wann , et al. September 15, 2
2015-09-15
Method Of Forming A Semiconductor Structure
App 20150249011 - YAO; Liang-Gi ;   et al.
2015-09-03
Methods for forming semiconductor regions in trenches
Grant 9,123,633 - Lee , et al. September 1, 2
2015-09-01
Multi-layer semiconductor device structures with different channel materials
Grant 9,123,546 - Lin , et al. September 1, 2
2015-09-01
Contact Structure of Semiconductor Device
App 20150236016 - Wann; Clement Hsingjen ;   et al.
2015-08-20
Fin Field-effect Transistors Having Controlled Fin Height
App 20150228743 - WANN; Clement Hsingjen ;   et al.
2015-08-13
Source/drain profile for FinFET
Grant 9,105,654 - Ma , et al. August 11, 2
2015-08-11
Contact structure of semiconductor device
Grant 9,105,490 - Wang , et al. August 11, 2
2015-08-11
Semiconductor Device Manufacturing Methods and Methods of Forming Insulating Material Layers
App 20150221504 - Huang; Gin-Chen ;   et al.
2015-08-06
Method Of Making A Finfet Device
App 20150221751 - Sun; Sey-Ping ;   et al.
2015-08-06
Contact structure of semiconductor device
Grant 9,099,494 - Wang , et al. August 4, 2
2015-08-04
Calculating carrier concentrations in semiconductor Fins using probed resistance
Grant 9,093,335 - Wann , et al. July 28, 2
2015-07-28
Fin structure of FinFET
Grant 9,093,530 - Huang , et al. July 28, 2
2015-07-28
FinFET Semiconductor Device with Germanium Diffusion Over Silicon Fins
App 20150206875 - Lee; Yi-Jing ;   et al.
2015-07-23
FinFETs with strained well regions
Grant 9,087,902 - Lee , et al. July 21, 2
2015-07-21
Semiconductor Device Having High-k Gate Dielectric Layer
App 20150200266 - LEE; Kun-Yu ;   et al.
2015-07-16
Contact structure of semiconductor device
Grant 9,076,819 - Wu , et al. July 7, 2
2015-07-07
Semiconductor Structure Having Interfacial Layer And High-k Dielectric Layer
App 20150187902 - YAO; Liang-Gi ;   et al.
2015-07-02
Method for constant power density scaling
Grant 9,069,925 - Wann June 30, 2
2015-06-30
Fin Structure of Semiconductor Device
App 20150179768 - Chen; Yen-Yu ;   et al.
2015-06-25
Mechanism for FinFET Well Doping
App 20150179503 - Tsai; Chun Hsiung ;   et al.
2015-06-25
Systems and methods for dopant activation using pre-amorphization implantation and microwave radiation
Grant 9,064,797 - Tsai , et al. June 23, 2
2015-06-23
FinFETs and Methods for Forming the Same
App 20150171187 - Huang; Yu-Lien ;   et al.
2015-06-18
Curved wafer processing on method and apparatus
Grant 9,054,188 - Chang , et al. June 9, 2
2015-06-09
Contact structure of semiconductor device
Grant 9,048,317 - Wann , et al. June 2, 2
2015-06-02
CMOS Devices with Reduced Leakage and Methods of Forming the Same
App 20150145002 - Lee; Yi-Jing ;   et al.
2015-05-28
Method of forming semiconductor structure
Grant 9,040,393 - Yao , et al. May 26, 2
2015-05-26
Method of forming fin field-effect transistors having controlled fin height
Grant 9,041,158 - Wann , et al. May 26, 2
2015-05-26
In-Situ Doping of Arsenic for Source and Drain Epitaxy
App 20150137198 - Tsai; Ji-Yin ;   et al.
2015-05-21
Replacement Channels For Semiconductor Devices And Methods For Forming The Same Using Dopant Concentration Boost
App 20150137266 - HUANG; Yu-Lien ;   et al.
2015-05-21
Inter-level Connection For Multi-layer Structures
App 20150137249 - LIN; YI-TANG ;   et al.
2015-05-21
Contact Structure of Semiconductor Device
App 20150140763 - Wang; Sung-Li ;   et al.
2015-05-21
Method of making a FinFET device
Grant 9,034,716 - Sun , et al. May 19, 2
2015-05-19
Systems And Methods For A Semiconductor Structure Having Multiple Semiconductor-device Layers
App 20150129932 - LIN; YI-TANG ;   et al.
2015-05-14
Semiconductor Device With A Strained Region And Method Of Making
App 20150129979 - Lee; Yi-Jing ;   et al.
2015-05-14
Systems And Methods For A Semiconductor Structure Having Multiple Semiconductor-device Layers
App 20150129968 - LIN; YI-TANG ;   et al.
2015-05-14
Method For Fabricating Fin Field Effect Transistors
App 20150132912 - WANN; Clement Hsingjen ;   et al.
2015-05-14
Selective Fin-shaping Process
App 20150132911 - WANN; Clement Hsingjen ;   et al.
2015-05-14
Multi-layer Semiconductor Device Structures With Different Channel Materials
App 20150129891 - LIN; YI-TANG ;   et al.
2015-05-14
Systems And Methods For A Semiconductor Structure Having Multiple Semiconductor-device Layers
App 20150123202 - LIN; YI-TANG ;   et al.
2015-05-07
Systems And Methods For A Semiconductor Structure Having Multiple Semiconductor-device Layers
App 20150123203 - LIN; YI-TANG ;   et al.
2015-05-07
Semiconductor Structures and Methods with High Mobility and High Energy Bandgap Materials
App 20150123144 - Wu; Cheng-Hsien ;   et al.
2015-05-07
Self Aligned Contact Formation
App 20150108651 - Chen; Neng-Kuo ;   et al.
2015-04-23
Systems And Methods For Integrating Different Channel Materials Into A Cmos Circuit By Using A Semiconductor Structure Having Multiple Transistor Layers
App 20150108575 - LIN; YI-TANG ;   et al.
2015-04-23
Channel Epitaxial Regrowth Flow (CRF)
App 20150097242 - Fu; Ching-Feng ;   et al.
2015-04-09
Passivation Structure of Fin Field Effect Transistor
App 20150097239 - Chen; Yen-Yu ;   et al.
2015-04-09
System and Method for Test Key Characterizing Wafer Processing State
App 20150076499 - Wann; Clement Hsingjen ;   et al.
2015-03-19
Semiconductor Structure And The Manufacturing Method Thereof
App 20150076558 - LEE; YI-JING ;   et al.
2015-03-19
High-Mobility Multiple-Gate Transistor with Improved On-to-Off Current Ratio
App 20150072495 - Ko; Chih-Hsin ;   et al.
2015-03-12
Eliminating Fin Mismatch Using Isolation Last
App 20150060959 - Lin; Yi-Tang ;   et al.
2015-03-05
Semiconductor Logic Circuits Fabricated Using Multi-Layer Structures
App 20150061026 - Lin; Yi-Tang ;   et al.
2015-03-05
Stress Analysis of 3-D Structures Using Tip-Enhanced Raman Scattering Technology
App 20150062561 - Yao; Liang-Gi ;   et al.
2015-03-05
Systems And Methods For Dopant Activation Using Pre-amorphization Implantation And Microwave Radiation
App 20150053983 - TSAI; CHUN-HSIUNG ;   et al.
2015-02-26
Self-Aligned Dual-Metal Silicide and Germanide Formation
App 20150041918 - Wann; Clement Hsingjen ;   et al.
2015-02-12
Methods and Systems for Dopant Activation Using Microwave Radiation
App 20150041966 - Tsai; Chun Hsiung ;   et al.
2015-02-12
Contact Structure of Semiconductor Device
App 20150035017 - Wann; Clement Hsingjen ;   et al.
2015-02-05
Semiconductor Device And Method Of Forming The Same
App 20150017768 - Wann; Clement Hsingjen ;   et al.
2015-01-15
FINFET Device Having A Channel Defined In A Diamond-Like Shape Semiconductor Structure
App 20150011068 - Lin; You-Ru ;   et al.
2015-01-08
FinFETs with Nitride Liners and Methods of Forming the Same
App 20140374838 - Chen; Neng-Kuo ;   et al.
2014-12-25
Contact Structure of Semiconductor Device Priority Claim
App 20140363943 - Wang; Sung-Li ;   et al.
2014-12-11
Semiconductor Structures and Methods with High Mobility and High Energy Bandgap Materials
App 20140357049 - Wu; Cheng-Hsien ;   et al.
2014-12-04
System And Methods For Converting Planar Design To Finfet Design
App 20140332904 - WANN; Clement Hsingjen ;   et al.
2014-11-13
Fin-Last FinFET and Methods of Forming Same
App 20140327046 - Huang; Yu-Lien ;   et al.
2014-11-06
Method of Scavenging Impurities in Forming a Gate Stack Having an Interfacial Layer
App 20140315360 - Liu; Kuan-Ting ;   et al.
2014-10-23
FinFETs with Strained Well Regions
App 20140252469 - Lee; Yi-Jing ;   et al.
2014-09-11
Channel Epitaxial Regrowth Flow (CRF)
App 20140252488 - Fu; Ching-Feng ;   et al.
2014-09-11
Self-Aligned Passivation of Active Regions
App 20140256105 - Wann; Clement Hsingjen ;   et al.
2014-09-11
In-situ Metal Gate Recess Process For Self-aligned Contact Application
App 20140256124 - Hsu; Chia-Jung ;   et al.
2014-09-11
Isolation Structure Of Semiconductor Device
App 20140246695 - Chen; Shu-Han ;   et al.
2014-09-04
FinFETs with Strained Well Regions
App 20140239402 - Lee; Yi-Jing ;   et al.
2014-08-28
FinFETs and Methods for Forming the Same
App 20140239354 - Huang; Yu-Lien ;   et al.
2014-08-28
Growing III-V Compound Semiconductors from Trenches Filled with Intermediate Layers
App 20140235040 - Wann; Clement Hsingjen ;   et al.
2014-08-21
Structure and Method for High Performance Interconnect
App 20140235051 - Wann; Clement Hsingjen ;   et al.
2014-08-21
Methods for Forming Semiconductor Regions in Trenches
App 20140220751 - Lee; Yi-Jing ;   et al.
2014-08-07
Methods for Forming Semiconductor Regions in Trenches
App 20140217499 - Lee; Yi-Jing ;   et al.
2014-08-07
Method of Making a FinFET Device
App 20140213048 - Sun; Sey-Ping ;   et al.
2014-07-31
System And Methods For Converting Planar Design To Finfet Design
App 20140215420 - LIN; Yi-Tang ;   et al.
2014-07-31
Contact Structure Of Semiconductor Device
App 20140206167 - WU; Cheng-Hsien ;   et al.
2014-07-24
Semiconductor Device Having Modified Profile Metal Gate
App 20140203333 - Huang; Yu-Lien ;   et al.
2014-07-24
Self Aligned Contact Formation
App 20140197499 - Chen; Neng-Kuo ;   et al.
2014-07-17
Method Of Protecting An Interlayer Dielectric Layer And Structure Formed Thereby
App 20140191333 - CHANG; Chun-Wei ;   et al.
2014-07-10
Complimentary Metal-Oxide-Semiconductor (CMOS) With Low Contact Resistivity and Method of Forming Same
App 20140183645 - Wann; Clement Hsingjen ;   et al.
2014-07-03
Novel Fin Structure Of Finfet
App 20140183600 - Huang; Gin-Chen ;   et al.
2014-07-03
Method For Removing Hard Mask Oxide And Making Gate Structure Of Semiconductor Devices
App 20140162446 - LIN; Yi-An ;   et al.
2014-06-12
Semiconductor Device Having SiGe Substrate, Interfacial Layer and High K Dielectric Layer
App 20140151819 - Cheng; Chao-Ching ;   et al.
2014-06-05
Fin-Last FinFET and Methods of Forming Same
App 20140145242 - Huang; Yu-Lien ;   et al.
2014-05-29
Method for Determining Carrier Concentrations in Semiconductor Fins
App 20140147943 - Wann; Clement Hsingjen ;   et al.
2014-05-29
Strained Structure of a Semiconductor Device
App 20140147978 - Chen; Chung-Hsien ;   et al.
2014-05-29
Device Having Source/Drain Regions Regrown from Un-Relaxed Silicon Layer
App 20140138742 - Wann; Clement Hsingjen ;   et al.
2014-05-22
High-Mobility Multiple-Gate Transistor with Improved On-to-Off Current Ratio
App 20140134815 - Ko; Chih-Hsin ;   et al.
2014-05-15
Contact Structure of Semiconductor Device
App 20140124842 - Wang; Sung-Li ;   et al.
2014-05-08
Reverse Tone STI Formation
App 20140099779 - Chang; Kai-Tai ;   et al.
2014-04-10
Reverse Tone STI Formation
App 20140099771 - Chang; Kai-Tai ;   et al.
2014-04-10
Contact Structure Of Semiconductor Device
App 20140084340 - Wang; Sung-Li ;   et al.
2014-03-27
Method for Forming Antimony-Based FETs Monolithically
App 20120329254A1 -
2012-12-27

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed