loadpatents
name:-0.16732883453369
name:-0.14448595046997
name:-0.023283004760742
Wang; Ying-Lang Patent Filings

Wang; Ying-Lang

Patent Applications and Registrations

Patent applications and USPTO patent grants for Wang; Ying-Lang.The latest application filed is for "source/drain structure".

Company Profile
23.149.167
  • Wang; Ying-Lang - Tien-Chung Village TW
  • Wang; Ying-Lang - Tai-Chung County TW
  • WANG; YING-LANG - Hsinchu TW
  • WANG; Ying-Lang - Taichung City TW
  • Wang; Ying-Lang - Fai-Chung County TW
  • Wang; Ying-Lang - Taichung TW
  • Wang; Ying-Lang - Tai-Chung TW
  • Wang; Ying-Lang - Tai-Chung City TW
  • Wang; Ying-Lang - Taipei TW
  • WANG; Ying-Lang - Taipei City TW
  • WANG; Ying-Lang - Lung-Jing Country TW
  • Wang; Ying-Lang - Lung-Jing TW
  • Wang; Ying-Lang - Longjing Township Taichung County TW
  • Wang; Ying-Lang - Lung-Jing County TW
  • Wang; Ying-Lang - Taiching County TW
  • Wang; Ying-Lang - Taichung County TW
  • Wang; Ying-Lang - Long-Jing Country TW
  • Wang; Ying-Lang - Hsin-Chu TW
  • Wang; Ying-Lang - Lung-Jing Township TW
  • Wang; Ying-Lang - Lungjing Shiang TW
  • Wang; Ying-Lang - Tien-Chung TW
  • Wang, Ying-Lang - Tai-chung Couney TW
  • Wang, Ying-Lang - Long-Jing TW
  • Wang; Ying-Lang - Lung-Ching Hsiang TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Source/Drain Structure
App 20220173239 - Liu; Su-Hao ;   et al.
2022-06-02
Electrode structure to improve RRAM performance
Grant 11,329,221 - Chu , et al. May 10, 2
2022-05-10
Melt Anneal Source and Drain Regions
App 20220140079 - Liu; Su-Hao ;   et al.
2022-05-05
Metal Loss Prevention Using Implantation
App 20220122884 - Wu; Li-Chieh ;   et al.
2022-04-21
Source/drain structure
Grant 11,257,952 - Liu , et al. February 22, 2
2022-02-22
Melt anneal source and drain regions
Grant 11,227,918 - Liu , et al. January 18, 2
2022-01-18
Metal loss prevention using implantation
Grant 11,211,289 - Wu , et al. December 28, 2
2021-12-28
Electrode structure to improve RRAM performance
Grant 11,183,631 - Chu , et al. November 23, 2
2021-11-23
Bonding Pad on a Back Side Illuminated Image Sensor
App 20210273009 - Chien; Volume ;   et al.
2021-09-02
Metal shielding layer in backside illumination image sensor chips and methods for forming the same
Grant 11,018,176 - Chang , et al. May 25, 2
2021-05-25
Bonding pad on a back side illuminated image sensor
Grant 11,011,566 - Chien , et al. May 18, 2
2021-05-18
Thickness Sensor For Conductive Features
App 20210125881 - CHEN; CHIH HUNG ;   et al.
2021-04-29
Semiconductor Device
App 20210083048 - LIN; Jia-Ming ;   et al.
2021-03-18
Thickness sensor for conductive features
Grant 10,916,481 - Chen , et al. February 9, 2
2021-02-09
Semiconductor Device, Method, and Tool of Manufacture
App 20210036129 - Chen; Chih Hung ;   et al.
2021-02-04
Apparatus and method for timed dispensing various slurry components
Grant 10,875,149 - Chen , et al. December 29, 2
2020-12-29
Field effect transistor contact with reduced contact resistance using implantation process
Grant 10,868,178 - Liu , et al. December 15, 2
2020-12-15
Method for forming trench structure of semiconductor device
Grant 10,854,713 - Lin , et al. December 1, 2
2020-12-01
Block layer in the metal gate of MOS devices
Grant 10,840,330 - Tsao , et al. November 17, 2
2020-11-17
Semiconductor device, method, and tool of manufacture
Grant 10,804,370 - Chen , et al. October 13, 2
2020-10-13
Source/Drain Structure
App 20200279944 - Liu; Su-Hao ;   et al.
2020-09-03
Wafer Thinning Apparatus Having Feedback Control
App 20200258756 - A1
2020-08-13
Source/drain structure
Grant 10,658,510 - Liu , et al.
2020-05-19
Wafer thinning apparatus having feedback control and method of using
Grant 10,643,853 - Chen , et al.
2020-05-05
Metal loss prevention using implantation
Grant 10,643,892 - Wu , et al.
2020-05-05
Field Effect Transistor Contact with Reduced Contact Resistance Using Implantation Process
App 20200119195 - Liu; Su-Hao ;   et al.
2020-04-16
Electrode Structure To Improve Rram Performance
App 20200091425 - Chu; Wen-Ting ;   et al.
2020-03-19
Fin-type field effect transistor structure and manufacturing method thereof
Grant 10,573,749 - Tsai , et al. Feb
2020-02-25
Electrode Structure To Improve Rram Performance
App 20200058858 - Chu; Wen-Ting ;   et al.
2020-02-20
Melt Anneal Source and Drain Regions
App 20200044025 - Liu; Su-Hao ;   et al.
2020-02-06
Source/Drain Structure
App 20200006545 - Liu; Su-Hao ;   et al.
2020-01-02
Thickness Sensor For Conductive Features
App 20190393107 - CHEN; CHIH HUNG ;   et al.
2019-12-26
Fabrication of semiconductor device
Grant 10,516,048 - Chen , et al. Dec
2019-12-24
Electrode structure to improve RRAM performance
Grant 10,516,106 - Chu , et al. Dec
2019-12-24
Metal Loss Prevention Using Implantation
App 20190385909 - Wu; Li-Chieh ;   et al.
2019-12-19
Field effect transistor contact with reduced contact resistance using implantation process
Grant 10,510,891 - Liu , et al. Dec
2019-12-17
Field Effect Transistor Contact with Reduced Contact Resistance Using Implantation Process
App 20190378928 - Liu; Su-Hao ;   et al.
2019-12-12
Metal Loss Prevention Using Implantation
App 20190371664 - Wu; Li-Chieh ;   et al.
2019-12-05
Metal Shielding Layer in Backside Illumination Image Sensor Chips and Methods for Forming the Same
App 20190252427 - Chang; Shih-Chieh ;   et al.
2019-08-15
Polishing Pad For Chemical Mechanical Planarization
App 20190224810 - Chen; Chih Hung ;   et al.
2019-07-25
Field effect transistor contact with reduced contact resistance using implantation process
Grant 10,347,762 - Liu , et al. July 9, 2
2019-07-09
Methods for resistive random access memory (RRAM)
Grant 10,283,702 - Chang , et al.
2019-05-07
Metal shielding layer in backside illumination image sensor chips and methods for forming the same
Grant 10,276,621 - Chang , et al.
2019-04-30
Electrode Structure To Improve Rram Performance
App 20180375024 - Chu; Wen-Ting ;   et al.
2018-12-27
Semiconductor Device with Multi Level Interconnects and Method of Forming the Same
App 20180337113 - Liang; Jeng Min ;   et al.
2018-11-22
Semiconductor device having barrier layer to prevent impurity diffusion
Grant 10,096,672 - Chen , et al. October 9, 2
2018-10-09
Apparatus And Method For Timed Dispensing Various Slurry Components
App 20180281152 - CHEN; Kei-Wei ;   et al.
2018-10-04
Semiconductor Device, Method, and Tool of Manufacture
App 20180269307 - Chen; Chih Hung ;   et al.
2018-09-20
Method for controlling chemical mechanical polishing process
Grant 10,058,974 - Chen , et al. August 28, 2
2018-08-28
Method For Forming Trench Structure Of Semiconductor Device
App 20180151667 - LIN; Jia-Ming ;   et al.
2018-05-31
Methods for Resistive Random Access Memory (RRAM)
App 20180108836 - Chang; Ting-Chang ;   et al.
2018-04-19
Wafer edge trimming tool using abrasive tape
Grant 9,931,726 - Chang , et al. April 3, 2
2018-04-03
Fabrication Of Semiconductor Device
App 20180061987 - CHEN; I-Chih ;   et al.
2018-03-01
Trench structure of semiconductor device having uneven nitrogen distribution liner
Grant 9,871,100 - Lin , et al. January 16, 2
2018-01-16
Semiconductor device and manufacturing method thereof
Grant 9,865,731 - Chen , et al. January 9, 2
2018-01-09
Methods and apparatus for resistive random access memory (RRAM)
Grant 9,847,478 - Chang , et al. December 19, 2
2017-12-19
Apparatus And Method For Treating Wafer
App 20170352574 - CHEN; Kei-Wei ;   et al.
2017-12-07
Lithography tool with backside polisher
Grant 9,829,806 - Chang , et al. November 28, 2
2017-11-28
Block Layer in the Metal Gate of MOS Devices
App 20170323940 - Tsao; Jung-Chih ;   et al.
2017-11-09
Metal shield structure and methods for BSI image sensors
Grant 9,812,492 - JangJian , et al. November 7, 2
2017-11-07
Semiconductor device and fabricating method thereof
Grant 9,812,569 - Chen , et al. November 7, 2
2017-11-07
Semiconductor Device Having Barrier Layer To Prevent Impurity Diffusion
App 20170317164 - CHEN; I-Chih ;   et al.
2017-11-02
Fin-type Field Effect Transistor Structure And Manufacturing Method Thereof
App 20170250281 - Tsai; Chun Hsiung ;   et al.
2017-08-31
Semiconductor device
Grant 9,735,271 - Hsiao , et al. August 15, 2
2017-08-15
Block layer in the metal gate of MOS devices
Grant 9,735,231 - Tsao , et al. August 15, 2
2017-08-15
Semiconductor wafer and semiconductor die
Grant 9,728,511 - Wu , et al. August 8, 2
2017-08-08
Semiconductor device having barrier layer to prevent impurity diffusion
Grant 9,728,598 - Chen , et al. August 8, 2
2017-08-08
Metal Shielding Layer in Backside Illumination Image Sensor Chips and Methods for Forming the Same
App 20170213861 - Chang; Shih-Chieh ;   et al.
2017-07-27
Wafer edge trim blade with slots
Grant 9,676,114 - Kuo , et al. June 13, 2
2017-06-13
Semiconductor devices utilizing partially doped stressor film portions
Grant 9,634,119 - Hsiao , et al. April 25, 2
2017-04-25
Metal shielding layer in backside illumination image sensor chips and methods for forming the same
Grant 9,620,555 - Chang , et al. April 11, 2
2017-04-11
Method for wafer grinding
Grant 9,566,683 - Wei , et al. February 14, 2
2017-02-14
Modular grinding apparatuses and methods for wafer thinning
Grant 9,570,311 - Kuo , et al. February 14, 2
2017-02-14
Trench Structure Of Semiconductor Device And Manufacturing Method Thereof
App 20170033179 - LIN; Jia-Ming ;   et al.
2017-02-02
Semiconductor device and fabricating method thereof
Grant 9,520,477 - Hung , et al. December 13, 2
2016-12-13
Resistive random-access memory (RRAM) with a low-K porous layer
Grant 9,502,647 - Chang , et al. November 22, 2
2016-11-22
Oxidation-free copper metallization process using in-situ baking
Grant 9,502,290 - Wang , et al. November 22, 2
2016-11-22
Semiconductor device and manufacturing method thereof
Grant 9,490,345 - Chen , et al. November 8, 2
2016-11-08
Semiconductor Device Having Barrier Layer To Prevent Impurity Diffusion
App 20160300906 - CHEN; I-Chih ;   et al.
2016-10-13
Semiconductor Device And Fabricating Method Thereof
App 20160276456 - HUNG; CHI-CHENG ;   et al.
2016-09-22
Sensing product and method of making
Grant 9,419,155 - Chang , et al. August 16, 2
2016-08-16
Apparatus and method for reducing dark current in image sensors
Grant 9,379,275 - Liao , et al. June 28, 2
2016-06-28
Semiconductor Device
App 20160181427 - HSIAO; Wen Chu ;   et al.
2016-06-23
Dry etching gas and method of manufacturing semiconductor device
Grant 9,368,394 - Hung , et al. June 14, 2
2016-06-14
BSI image sensor chips and methods for forming the same
Grant 9,356,059 - JangJian , et al. May 31, 2
2016-05-31
Bonding Pad on a Back Side Illuminated Image Sensor
App 20160148967 - Chien; Volume ;   et al.
2016-05-26
Wafer polishing tool using abrasive tape
Grant 9,339,912 - Chang , et al. May 17, 2
2016-05-17
Metal Shielding Layer in Backside Illumination Image Sensor Chips and Methods for Forming the Same
App 20160118434 - Chang; Shih-Chieh ;   et al.
2016-04-28
Method Of Forming Diamond Conditioners For Cmp Process
App 20160114460 - CHAO; Yen-Chang ;   et al.
2016-04-28
Semiconductor device
Grant 9,324,863 - Hsiao , et al. April 26, 2
2016-04-26
Resistive random-access memory (RRAM) with multi-layer device structure
Grant 9,281,475 - Chang , et al. March 8, 2
2016-03-08
Method of forming diamond conditioners for CMP process
Grant 9,254,548 - Chao , et al. February 9, 2
2016-02-09
Bonding pad on a back side illuminated image sensor
Grant 9,252,180 - Chien , et al. February 2, 2
2016-02-02
Metal shielding layer in backside illumination image sensor chips and methods for forming the same
Grant 9,224,773 - Chang , et al. December 29, 2
2015-12-29
Semiconductor device contact structures
Grant 9,224,691 - Chang , et al. December 29, 2
2015-12-29
Apparatus for Wafer Grinding
App 20150367475 - Wei; Kuo-Hsiu ;   et al.
2015-12-24
Resistive Random-access Memory (rram) With A Low-k Porous Layer
App 20150349251 - CHANG; TING-CHANG ;   et al.
2015-12-03
Resistive Random-access Memory (rram) With Multi-layer Device Structure
App 20150349250 - CHANG; TING-CHANG ;   et al.
2015-12-03
Semiconductor Devices Utilizing Partially Doped Stressor Film Portions
App 20150311314 - Hsiao; Wen Chu ;   et al.
2015-10-29
System and method for die to die stress improvement
Grant 9,171,759 - Cheng , et al. October 27, 2
2015-10-27
Backside Illuminated Image Sensor And Method Of Manufacturing The Same
App 20150279880 - JANGJIAN; SHIU-KO ;   et al.
2015-10-01
Block Layer In The Metal Gate Of Mos Devices
App 20150279838 - Tsao; Jung-Chih ;   et al.
2015-10-01
Lithography Tool With Backside Polisher
App 20150262831 - CHANG; TANG-KUEI ;   et al.
2015-09-17
Apparatus for wafer grinding
Grant 9,120,194 - Wei , et al. September 1, 2
2015-09-01
Semiconductor Device And Formation Thereof
App 20150235953 - Tsao; Jung-Chih ;   et al.
2015-08-20
Metal Shield Structure and Methods for BSI Image Sensors
App 20150214272 - JangJian; Shiu-Ko ;   et al.
2015-07-30
Semiconductor Device And Manufacturing Method Thereof
App 20150206946 - CHEN; I-CHIH ;   et al.
2015-07-23
Semiconductor Device And Fabricating Method Thereof
App 20150200299 - CHEN; I-Chih ;   et al.
2015-07-16
Device with MOS device including a secondary metal and PVD tool with target for making same
Grant 9,070,664 - Chang , et al. June 30, 2
2015-06-30
Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same
Grant 9,064,892 - Hsiao , et al. June 23, 2
2015-06-23
Methods for minimizing edge peeling in the manufacturing of BSI chips
Grant 9,064,770 - Kuo , et al. June 23, 2
2015-06-23
Semiconductor Wafer And Semiconductor Die
App 20150170985 - Wu; Hsi-Jung ;   et al.
2015-06-18
Semiconductor Device And Manufacturing Method Thereof
App 20150137247 - CHEN; I-CHIH ;   et al.
2015-05-21
Metal shield structure and methods for BSI image sensors
Grant 9,024,369 - JangJian , et al. May 5, 2
2015-05-05
MOSFET having memory characteristics
Grant 8,891,299 - Chang , et al. November 18, 2
2014-11-18
Methods and apparatus for an improved reflectivity optical grid for image sensors
Grant 8,890,273 - JangJian , et al. November 18, 2
2014-11-18
Image sensor and method of manufacturing
Grant 8,847,286 - Jangjian , et al. September 30, 2
2014-09-30
Semiconductor device
App 20140239416 - HSIAO; Wen Chu ;   et al.
2014-08-28
Bonding Pad On A Back Side Illuminated Image Sensor
App 20140225215 - CHIEN; VOLUME ;   et al.
2014-08-14
Wafer Polishing Tool Using Abrasive Tape
App 20140213153 - Chang; Tang-Kuei ;   et al.
2014-07-31
Wafer Edge Trimming Tool Using Abrasive Tape
App 20140213152 - Chang; Tang-Kuei ;   et al.
2014-07-31
Semiconductor Device With Multi Level Interconnects And Method Of Forming The Same
App 20140209984 - Liang; Jeng Min ;   et al.
2014-07-31
Method and apparatus for backside illumination sensor
Grant 8,772,899 - JangJian , et al. July 8, 2
2014-07-08
System And Method For Die To Die Stress Improvement
App 20140167199 - CHENG; Chia-Pin ;   et al.
2014-06-19
Metal Shield Structure and Methods for BSI Image Sensors
App 20140167197 - JangJian; Shiu-Ko ;   et al.
2014-06-19
Method of manufacturing semiconductor device
Grant 8,735,255 - Hsiao , et al. May 27, 2
2014-05-27
Mosfet Having Memory Characteristics
App 20140043899 - Chang; Ting-Chang ;   et al.
2014-02-13
Semiconductor device and method of formation
Grant 8,642,439 - Nian , et al. February 4, 2
2014-02-04
Methods for Minimizing Edge Peeling in the Manufacturing of BSI Chips
App 20140024170 - Kuo; Chun-Ting ;   et al.
2014-01-23
Device with MOS Device Including a Secondary Metal and PVD Tool with Target for Making Same
App 20130334581 - Chang; Shih-Chieh ;   et al.
2013-12-19
Semiconductor Device Contact Structures
App 20130320541 - CHANG; Shih-Chieh ;   et al.
2013-12-05
Method Of Manufacturing Semiconductor Device
App 20130295739 - HSIAO; Wen Chu ;   et al.
2013-11-07
Method Of Forming Diamond Conditioners For Cmp Process
App 20130288582 - CHAO; Yen-Chang ;   et al.
2013-10-31
Image Sensor Manufacturing Methods
App 20130273686 - Cheng; Mu-Han ;   et al.
2013-10-17
Oxidation-Free Copper Metallization Process Using In-situ Baking
App 20130273735 - Wang; Yu-Sheng ;   et al.
2013-10-17
Semiconductor Device and Method of Formation
App 20130264615 - Nian; Jun-Nan ;   et al.
2013-10-10
Nickel alloy target including a secondary metal
Grant 8,551,193 - Chang , et al. October 8, 2
2013-10-08
Semiconductor device
Grant 8,552,529 - Tsao , et al. October 8, 2
2013-10-08
Methods and Apparatus for Resistive Random Access Memory (RRAM)
App 20130234094 - Chang; Ting-Chang ;   et al.
2013-09-12
Via/contact and damascene structures
Grant 8,531,036 - Chang , et al. September 10, 2
2013-09-10
Method and Apparatus for Backside Illumination Sensor
App 20130228886 - JangJian; Shiu-Ko ;   et al.
2013-09-05
Wafer Edge Trim Blade With Slots
App 20130220090 - KUO; Chun-Ting ;   et al.
2013-08-29
Semiconductor device contact structures and methods for making the same
Grant 8,518,819 - Chang , et al. August 27, 2
2013-08-27
Wafer Thinning Apparatus Having Feedback Control And Method Of Using
App 20130210172 - Chen; Yuan-Hsuan ;   et al.
2013-08-15
Modular Grinding Apparatuses And Methods For Wafer Thinning
App 20130210321 - KUO; Chun-Ting ;   et al.
2013-08-15
Methods and Apparatus for an Improved Reflectivity Optical Grid for Image Sensors
App 20130193538 - JangJian; Shiu-Ko ;   et al.
2013-08-01
Apparatus and Method for Reducing Dark Current in Image Sensors
App 20130193540 - Liao; Miao-Cheng ;   et al.
2013-08-01
Image Sensor And Method Of Manufacturing
App 20130181258 - JangJian; Shiu-Ko ;   et al.
2013-07-18
Sensing Product And Method Of Making
App 20130175653 - CHANG; Shih-Chieh ;   et al.
2013-07-11
Oxidation-free copper metallization process using in-situ baking
Grant 8,470,390 - Wang , et al. June 25, 2
2013-06-25
BSI Image Sensor Chips and Methods for Forming the Same
App 20130153901 - JangJian; Shiu-Ko ;   et al.
2013-06-20
Stressed semiconductor device and method of manufacturing
Grant 8,455,883 - Liao , et al. June 4, 2
2013-06-04
Metal Shielding Layer in Backside Illumination Image Sensor Chips and Methods for Forming the Same
App 20130134541 - Chang; Shih-Chieh ;   et al.
2013-05-30
Semiconductor Device and Method of Formation
App 20130126950 - Nian; Jun-Nan ;   et al.
2013-05-23
Semiconductor device and method of formation
Grant 8,435,893 - Nian , et al. May 7, 2
2013-05-07
Semiconductor Manufacturing Apparatus And Method Of Manufacturing Semiconductor Device
App 20130102152 - CHAO; Yen-Chang ;   et al.
2013-04-25
Metal Gate Stack Having Tialn Blocking/wetting Layer
App 20130075831 - JangJian; Shiu-Ko ;   et al.
2013-03-28
Semiconductor Devices Utilizing Partially Doped Stressor Film Portions And Methods For Forming The Same
App 20130049101 - HSIAO; Wen Chu ;   et al.
2013-02-28
Apparatus for Wafer Grinding
App 20130023188 - Wei; Kuo-Hsiu ;   et al.
2013-01-24
Nickel Alloy Target Including a Secondary Metal
App 20130020617 - Chang; Shih-Chieh ;   et al.
2013-01-24
Stressed Semiconductor Device And Method Of Manufacturing
App 20120292639 - Liao; Miao-Cheng ;   et al.
2012-11-22
Via/contact And Damascene Structures
App 20120292768 - Chang; Shih-Chieh ;   et al.
2012-11-22
Chemical Mechanical Polishing Slurry, System And Method
App 20120264303 - CHEN; Kei-Wei ;   et al.
2012-10-18
Semiconductor Device
App 20120241908 - TSAO; Jung-Chih ;   et al.
2012-09-27
Semiconductor Device Contact Structures And Methods For Making The Same
App 20120235299 - CHANG; Shih Chieh ;   et al.
2012-09-20
Via/contact and damascene structures and manufacturing methods thereof
Grant 8,247,322 - Chang , et al. August 21, 2
2012-08-21
Current-leveling electroplating/electropolishing electrode
Grant 8,099,861 - Chang , et al. January 24, 2
2012-01-24
Method and system for providing a selection of golden tools for better defect density and product yield
Grant 8,041,440 - Cheng , et al. October 18, 2
2011-10-18
Oxygen plasma reduction to eliminate precursor overflow in BPTEOS film deposition
Grant 7,955,993 - Lan , et al. June 7, 2
2011-06-07
Current-leveling Electroplating/electropolishing Electrode
App 20100314256 - Chang; Shih-Chieh ;   et al.
2010-12-16
Oxygen Plasma Reduction To Eliminate Precursor Overflow In Bpteos Film Deposition
App 20100311252 - Lan; Chin Kun ;   et al.
2010-12-09
Apparatuses for electrochemical deposition, conductive layer, and fabrication methods thereof
Grant 7,837,841 - Chen , et al. November 23, 2
2010-11-23
Current-leveling electroplating/electropolishing electrode
Grant 7,803,257 - Chang , et al. September 28, 2
2010-09-28
Methods of spin-on wafer cleaning
Grant 7,611,589 - Wu , et al. November 3, 2
2009-11-03
Oxidation-Free Copper Metallization Process Using In-situ Baking
App 20090181164 - Wang; Yu-Sheng ;   et al.
2009-07-16
Forming Seed Layer in Nano-Trench Structure Using Net Deposition and Net Etch
App 20090127097 - Chen; Kei-Wei ;   et al.
2009-05-21
Semiconductor devices having post passivation interconnections and a buffer layer
Grant 7,528,478 - Cheng , et al. May 5, 2
2009-05-05
TEOS deposition method
Grant 7,470,584 - Cheng , et al. December 30, 2
2008-12-30
Semiconductor Device
App 20080251889 - Tsao; Jung-Chih ;   et al.
2008-10-16
Apparatuses For Electrochemical Deposition, Conductive Layer, And Fabrication Methods Thereof
App 20080223724 - Chen; Kei-Wei ;   et al.
2008-09-18
Via/contact And Damascene Structures And Manufacturing Methods Thereof
App 20080211106 - Chang; Shih-Chieh ;   et al.
2008-09-04
Method and system for virtual metrology in semiconductor manufacturing
Grant 7,359,759 - Cheng , et al. April 15, 2
2008-04-15
Metal-oxide-metal structure with improved capacitive coupling area
App 20080061343 - Lan; Chin Kun ;   et al.
2008-03-13
Method and System for Providing a Selection of Golden Tools for Better Defect Density and Product Yield
App 20080021585 - Cheng; Chang Yung ;   et al.
2008-01-24
Copper plating of semiconductor devices using single intermediate low power immersion step
Grant 7,312,149 - Chen , et al. December 25, 2
2007-12-25
Test device and method for laser alignment calibration
Grant 7,304,728 - Chang , et al. December 4, 2
2007-12-04
Barrier layer for semiconductor interconnect structure
App 20070257366 - Wang; Yu-Sheng ;   et al.
2007-11-08
Semiconductor Devices And Fabrication Method Thereof
App 20070252277 - Tsao; Jung-Chih ;   et al.
2007-11-01
Low-k dielectric layer, semiconductor device, and method for fabricating the same
App 20070205516 - Chen; Kei-Wei ;   et al.
2007-09-06
Dual contact ring and method for metal ECP process
Grant 7,252,750 - Liu , et al. August 7, 2
2007-08-07
Method for forming IMD films
Grant 7,253,121 - Cheng , et al. August 7, 2
2007-08-07
Method and system for virtual metrology in semiconductor manufacturing
App 20070100487 - Cheng; Chang Yung ;   et al.
2007-05-03
Method for cleaning a plasma enhanced CVD chamber
Grant 7,207,339 - Chen , et al. April 24, 2
2007-04-24
Plating apparatuses and processes
App 20070084730 - Chen; Kei-Wei ;   et al.
2007-04-19
MIM structure and fabrication process with improved capacitance reliability
Grant 7,205,634 - Liao , et al. April 17, 2
2007-04-17
Metal-filled openings for submicron devices and methods of manufacture thereof
Grant 7,199,045 - Liu , et al. April 3, 2
2007-04-03
Damascene process using dielectic layer containing fluorine and nitrogen
App 20060292859 - Jian; Shiu-Ko Jang ;   et al.
2006-12-28
Method of forming a protective layer over Cu filled semiconductor features
Grant 7,144,811 - Liu , et al. December 5, 2
2006-12-05
Electropolishing method for removing particles from wafer surface
Grant 7,128,821 - Lin , et al. October 31, 2
2006-10-31
CMP process leaving no residual oxide layer or slurry particles
Grant 7,125,802 - Wang , et al. October 24, 2
2006-10-24
Methods of spin-on wafer cleaning
App 20060196526 - Wu; Jun ;   et al.
2006-09-07
TEOS deposition method
App 20060166514 - Cheng; Yi-Lung ;   et al.
2006-07-27
Method and system for fabricating a copper barrier layer with low dielectric constant and leakage current
Grant 7,078,336 - Cheng , et al. July 18, 2
2006-07-18
Semiconductor devices having post passivation interconnections with a second connection pattern
App 20060145332 - Cheng; Hsi-Kuei ;   et al.
2006-07-06
Damascene MIM capacitor structure with self-aligned oxidation fabrication process
App 20060148192 - Chou; You-Hua ;   et al.
2006-07-06
Method of forming barrier layer with reduced resistivity and improved reliability in copper damascene process
Grant 7,071,100 - Chen , et al. July 4, 2
2006-07-04
Current-leveling electroplating/electropolishing electrode
App 20060086609 - Chang; Shih-Chieh ;   et al.
2006-04-27
Method for reducing defects in post passivation interconnect process
Grant 7,026,233 - Cheng , et al. April 11, 2
2006-04-11
Test device and method for laser alignment calibration
App 20060055928 - Chang; Shih-Tzung ;   et al.
2006-03-16
Method for forming IMD films
App 20060051973 - Cheng; Yi-Lung ;   et al.
2006-03-09
Chamber leakage detection by measurement of reflectivity of oxidized thin film
Grant 6,985,222 - Cheng , et al. January 10, 2
2006-01-10
Metal-filled openings for submicron devices and methods of manufacture thereof
App 20050275941 - Liu, Chi-Wen ;   et al.
2005-12-15
Method and structure for improving adhesion between intermetal dielectric layer and cap layer
App 20050253268 - Hsu, Shao-Ta ;   et al.
2005-11-17
Copper plating of semiconductor devices using intermediate immersion step
App 20050250327 - Chen, Chao-Lung ;   et al.
2005-11-10
Novel ECP method for preventing the formation of voids and contamination in vias
App 20050236181 - Chen, Kei-Wei ;   et al.
2005-10-27
MIM structure and fabrication process with improved capacitance reliability
App 20050202616 - Liao, Miao-Cheng ;   et al.
2005-09-15
Method of forming barrier layer with reduced resistivity and improved reliability in copper damascene process
App 20050191855 - Chen, Kei-Wei ;   et al.
2005-09-01
Electropolishing method for removing particles from wafer surface
App 20050155869 - Lin, Shih-Ho ;   et al.
2005-07-21
Chamber cleaning method
App 20050155625 - Jangjian, Shiu-Ko ;   et al.
2005-07-21
Method for cleaning a plasma enhanced CVD chamber
App 20050133059 - Chen, Sheng-Wen ;   et al.
2005-06-23
Novel method to deposit carbon doped SiO2 films with improved film quality
App 20050124151 - Cheng, Yi-Lung ;   et al.
2005-06-09
CMP process leaving no residual oxide layer or slurry particles
Grant 6,903,019 - Wang , et al. June 7, 2
2005-06-07
Displacement method to grow cu overburden
Grant 6,903,011 - Liu , et al. June 7, 2
2005-06-07
Method and system for fabricating a copper barrier layer with low dielectric constant and leakage current
App 20050106858 - Cheng, Yi-Lung ;   et al.
2005-05-19
Method of forming inter-metal dielectric layer structure
App 20050074554 - Jangjian, Shiu-Ko ;   et al.
2005-04-07
Dual contact ring and method for metal ECP process
App 20050056544 - Liu, Chi-Wen ;   et al.
2005-03-17
Catch-pin water support for process chamber
Grant 6,863,491 - Cheng , et al. March 8, 2
2005-03-08
Method for reducing defects in post passivation interconnect process
App 20050032353 - Cheng, Hsi-Kuei ;   et al.
2005-02-10
Novel method to increase fluorine stability to improve gap fill ability and reduce k value of fluorine silicate glass (FSG) film
App 20050009367 - Cheng, Yi-Lung ;   et al.
2005-01-13
Displacement method to grow cu overburden
App 20040248407 - Liu, Chi-Wen ;   et al.
2004-12-09
Removal of SiON residue after CMP
Grant 6,828,226 - Chen , et al. December 7, 2
2004-12-07
Metal surface and film protection method to prolong Q-time after metal deposition
Grant 6,825,120 - Liu , et al. November 30, 2
2004-11-30
Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
Grant 6,815,007 - Yoo , et al. November 9, 2
2004-11-09
Method to solve particle performance of FSG layer by using UFU season film for FSG process
Grant 6,815,072 - Yoo , et al. November 9, 2
2004-11-09
Chamber leakage detection by measurement of reflectivity of oxidized thin film
App 20040212798 - Cheng, Hsi-Kuei ;   et al.
2004-10-28
Semiconductor chamber process apparatus and method
Grant 6,802,935 - Cheng , et al. October 12, 2
2004-10-12
Method for capping over a copper layer
Grant 6,790,778 - Cheng , et al. September 14, 2
2004-09-14
Shallow trench isolation process
Grant 6,784,077 - Lin , et al. August 31, 2
2004-08-31
Preventative maintenance aided tool for CVD chamber
Grant 6,776,850 - Liao , et al. August 17, 2
2004-08-17
Method and system for slurry usage reduction in chemical mechanical polishing
Grant 6,769,959 - Chen , et al. August 3, 2
2004-08-03
Novel method to reduce stress for copper CMP
App 20040147116 - Chen, Kei-Wei ;   et al.
2004-07-29
Catch-pin water support for process chamber
App 20040131460 - Cheng, Hsi-Kuei ;   et al.
2004-07-08
Completely enclosed copper structure to avoid copper damage for damascene processes
App 20040110373 - Liu, Chi-Wen ;   et al.
2004-06-10
CMP process leaving no residual oxide layer or slurry particles
App 20040097083 - Wang, Ying-Lang ;   et al.
2004-05-20
CMP process leaving no residual oxide layer or slurry particles
App 20040084415 - Wang, Ying-Lang ;   et al.
2004-05-06
Method of forming a protective layer over Cu filled semiconductor features
App 20040067643 - Liu, Chi-Wen ;   et al.
2004-04-08
Self-aligned method for forming dual gate thin film transistor (TFT) device
Grant 6,673,661 - Liu , et al. January 6, 2
2004-01-06
Method of forming a copper damascene structure comprising a recessed copper-oxide-free initial copper structure
Grant 6,670,274 - Liu , et al. December 30, 2
2003-12-30
Preventative Maintenance Aided Tool For Cvd Chamber
App 20030228751 - Liao, Miao-Cheng ;   et al.
2003-12-11
CMP process leaving no residual oxide layer or slurry particles
Grant 6,660,638 - Wang , et al. December 9, 2
2003-12-09
Planarization of shallow trench isolation (STI)
Grant 6,645,825 - Lan , et al. November 11, 2
2003-11-11
Chemical mechanical polisher equipped with chilled wafer holder and polishing pad and method of using
App 20030203708 - Liu, Chi-Wen ;   et al.
2003-10-30
Method for improving thickness uniformity on a semiconductor wafer during chemical mechanical polishing
Grant 6,626,741 - Wang , et al. September 30, 2
2003-09-30
Semiconductor chamber process apparatus and method
App 20030178141 - Cheng, Yi-Lung ;   et al.
2003-09-25
Method and system for slurry usage reduction in chemical mechanical polishing
App 20030143924 - Chen, Kei-Wei ;   et al.
2003-07-31
Method for achieving a uniform material removal rate in a CMP process
App 20030129846 - Liu, Chi-Wen ;   et al.
2003-07-10
Semiconductor Wafer Cleaning Method To Remove Residual Contamination Including Metal Nitride Particles
App 20030084919 - Tai, Yali ;   et al.
2003-05-08
Method of unloading substrates in chemical-mechanical polishing apparatus
Grant 6,558,228 - Cheng , et al. May 6, 2
2003-05-06
Method for reducing contaminants in a CVD chamber
App 20030068448 - Cheng, Yi-Lung ;   et al.
2003-04-10
Use of a capping layer to reduce particle evolution during sputter pre-clean procedures
Grant 6,531,382 - Cheng , et al. March 11, 2
2003-03-11
Method for improving thickness uniformity on a semiconductor wafer during chemical mechanical polishing
App 20030017784 - Wang, Ting-Chun ;   et al.
2003-01-23
Rule to determine CMP polish time
App 20020090745 - Lin, Hway-Chi ;   et al.
2002-07-11
Method to solve the delamination of a silicon nitride layer from an underlying spin on glass layer
Grant 6,407,007 - Tsan , et al. June 18, 2
2002-06-18
Methods to reduce metal bridges and line shorts in integrated circuits
Grant 6,372,645 - Liu , et al. April 16, 2
2002-04-16
Low temperature process for forming intermetal gap-filling insulating layers in silicon wafer integrated circuitry
App 20010030351 - Wang, Ying-Lang ;   et al.
2001-10-18
Three-dimensional type inductor for mixed mode radio frequency device
Grant 6,291,872 - Wang , et al. September 18, 2
2001-09-18
Re-deposition high compressive stress PECVD oxide film after IMD CMP process to solve more than 5 metal stack via process IMD crack issue
Grant 6,291,331 - Wang , et al. September 18, 2
2001-09-18
Low temperature process for forming inter-metal gap-filling insulating layers in silicon wafer integrated circuitry
Grant 6,268,274 - Wang , et al. July 31, 2
2001-07-31
Methods to improve copper-fluorinated silica glass interconnects
Grant 6,136,680 - Lai , et al. October 24, 2
2000-10-24
Chemical mechanical polishing slurry
Grant 6,046,112 - Wang April 4, 2
2000-04-04

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed