loadpatents
name:-0.10600304603577
name:-0.073944807052612
name:-0.043529987335205
Park; Soonam Patent Filings

Park; Soonam

Patent Applications and Registrations

Patent applications and USPTO patent grants for Park; Soonam.The latest application filed is for "multi-zone semiconductor substrate supports".

Company Profile
45.64.96
  • Park; Soonam - Sunnyvale CA
  • PARK; Soonam - Seongnam-si KR
  • Park; Soonam - Mountain View CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Semiconductor processing chamber for multiple precursor flow
Grant 11,361,939 - Samir , et al. June 14, 2
2022-06-14
Methods and apparatus for dynamical control of radial uniformity in microwave chambers
Grant 11,355,317 - Kobayashi , et al. June 7, 2
2022-06-07
Multi-zone Semiconductor Substrate Supports
App 20220148894 - Samir; Mehmet Tugrul ;   et al.
2022-05-12
Cylindrical Cavity With Impedance Shifting By Irises In A Power-supplying Waveguide
App 20220093364 - Kobayashi; Satoru ;   et al.
2022-03-24
Multi-zone semiconductor substrate supports
Grant 11,276,590 - Samir , et al. March 15, 2
2022-03-15
Semiconductor processing chamber for multiple precursor flow
Grant 11,276,559 - Samir , et al. March 15, 2
2022-03-15
Chemical control features in wafer process equipment
Grant 11,264,213 - Liang , et al. March 1, 2
2022-03-01
Substrate Processing Apparatus
App 20210384012 - CHOI; Jinhyuk ;   et al.
2021-12-09
Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same
Grant 11,195,696 - Shin , et al. December 7, 2
2021-12-07
Generalized cylindrical cavity system for microwave rotation and impedance shifting by irises in a power-supplying waveguide
Grant 11,195,699 - Kobayashi , et al. December 7, 2
2021-12-07
Process Chamber For Cyclic And Selective Material Removal And Etching
App 20210217591 - TRAN; Toan Q. ;   et al.
2021-07-15
High temperature RF heater pedestals
Grant 11,062,887 - Park , et al. July 13, 2
2021-07-13
Semiconductor substrate supports with embedded RF shield
Grant 11,049,755 - Benjaminson , et al. June 29, 2
2021-06-29
Ceramic Showerheads With Conductive Electrodes
App 20210189564 - Kalita; Laksheswar ;   et al.
2021-06-24
Substrate Processing Apparatus And Semiconductor Device Manufacturing Method Using The Same
App 20210151300 - JUNG; Jieun ;   et al.
2021-05-20
Process chamber for cyclic and selective material removal and etching
Grant 11,004,661 - Tran , et al. May 11, 2
2021-05-11
Electron Beam Generator, Plasma Processing Apparatus Having The Same And Plasma Processing Method Using The Same
App 20210110997 - SHIN; Dongkyu ;   et al.
2021-04-15
Substrate Processing Apparatus
App 20210074558 - NAM; Sang Ki ;   et al.
2021-03-11
Plasma health determination in semiconductor substrate processing reactors
Grant 10,920,320 - Kim , et al. February 16, 2
2021-02-16
Ceramic showerheads with conductive electrodes
Grant 10,920,319 - Kalita , et al. February 16, 2
2021-02-16
Systems and methods for radial and azimuthal control of plasma uniformity
Grant 10,903,052 - Kobayashi , et al. January 26, 2
2021-01-26
Systems and methods for internal surface conditioning assessment in plasma processing equipment
Grant 10,796,922 - Park , et al. October 6, 2
2020-10-06
Ceramic Showerheads With Conductive Electrodes
App 20200224313 - Kalita; Laksheswar ;   et al.
2020-07-16
Systems and methods for internal surface conditioning in plasma processing equipment
Grant 10,707,061 - Park , et al.
2020-07-07
Corrosion Resistant Ground Shield Of Processing Chamber
App 20200185203 - Lubomirsky; Dmitry ;   et al.
2020-06-11
Flow Control Features Of Cvd Chambers
App 20200149166 - CHUC; Kien N. ;   et al.
2020-05-14
Systems And Methods For Improved Semiconductor Etching And Component Protection
App 20200118845 - Tan; Tien Fak ;   et al.
2020-04-16
Plasma Etching Systems And Methods With Secondary Plasma Injection
App 20200111643 - Tran; Toan Q. ;   et al.
2020-04-09
Systems And Processes For Plasma Tuning
App 20200090907 - Kim; Junghoon ;   et al.
2020-03-19
Semiconductor Substrate Supports With Embedded Rf Shield
App 20200090972 - Benjaminson; David ;   et al.
2020-03-19
High Temperature Rf Heater Pedestals
App 20200090912 - Park; Soonam ;   et al.
2020-03-19
Systems and methods for internal surface conditioning in plasma processing equipment
Grant 10,593,523 - Park , et al.
2020-03-17
Magnetic induction plasma source for semiconductor processes and equipment
Grant 10,593,560 - Cho , et al.
2020-03-17
Systems And Methods For Internal Surface Conditioning Assessment In Plasma Processing Equipment
App 20200058516 - Park; Soonam ;   et al.
2020-02-20
Ceramic ring test device
Grant 10,551,328 - Kobayashi , et al. Fe
2020-02-04
Flow control features of CVD chambers
Grant 10,550,472 - Chuc , et al. Fe
2020-02-04
Optical emission spectroscopic techniques for monitoring etching
Grant 10,541,184 - Jung , et al. Ja
2020-01-21
Systems and methods for improved semiconductor etching and component protection
Grant 10,522,371 - Tan , et al. Dec
2019-12-31
Chemical Control Features In Wafer Process Equipment
App 20190385823 - Liang; Qiwei ;   et al.
2019-12-19
Systems and methods for improved semiconductor etching and component protection
Grant 10,504,754 - Tan , et al. Dec
2019-12-10
Particle generation suppresor by DC bias modulation
Grant 10,504,697 - Baek , et al. Dec
2019-12-10
Plasma etching systems and methods with secondary plasma injection
Grant 10,504,700 - Tran , et al. Dec
2019-12-10
Systems And Methods For Radial And Azimuthal Control Of Plasma Uniformity
App 20190362944 - Kobayashi; Satoru ;   et al.
2019-11-28
Systems and methods for internal surface conditioning assessment in plasma processing equipment
Grant 10,490,418 - Park , et al. Nov
2019-11-26
High temperature chuck for plasma processing systems
Grant 10,468,285 - Tran , et al. No
2019-11-05
Rotatable substrate support having radio frequency applicator
Grant 10,460,915 - Kobayashi , et al. Oc
2019-10-29
Generalized Cylindrical Cavity System For Microwave Rotation And Impedance Shifting By Irises In A Power-supplying Waveguide
App 20190326099 - Kobayashi; Satoru ;   et al.
2019-10-24
Texturing And Plating Nickel On Aluminum Process Chamber Components
App 20190323127 - Kalita; Laksheswar ;   et al.
2019-10-24
Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
Grant 10,453,655 - Kobayashi , et al. Oc
2019-10-22
Semiconductor Processing Chamber For Multiple Precursor Flow
App 20190311883 - Samir; Mehmet Tugrul ;   et al.
2019-10-10
Rf Tailored Voltage On Bias Operation
App 20190311884 - KOBAYASHI; Satoru ;   et al.
2019-10-10
Semiconductor Chamber Coatings And Processes
App 20190304756 - Kalita; Laksheswar ;   et al.
2019-10-03
Systems and methods for radial and azimuthal control of plasma uniformity
Grant 10,431,429 - Kobayashi , et al. O
2019-10-01
Magnetic Induction Plasma Source For Semiconductor Processes And Equipment
App 20190272999 - Cho; Tae Seung ;   et al.
2019-09-05
Optical Emission Spectroscopy (oes) For Remote Plasma Monitoring
App 20190259580 - Cho; Tae Seung ;   et al.
2019-08-22
Chemical control features in wafer process equipment
Grant 10,354,843 - Liang , et al. July 16, 2
2019-07-16
Generalized cylindrical cavity system for microwave rotation and impedance shifting by irises in a power-supplying waveguide
Grant 10,340,124 - Kobayashi , et al.
2019-07-02
Methods And Apparatus For Dynamical Control Of Radial Uniformity In Microwave Chambers
App 20190189399 - KOBAYASHI; SATORU ;   et al.
2019-06-20
Optical emission spectroscopy (OES) for remote plasma monitoring
Grant 10,319,649 - Cho , et al.
2019-06-11
Systems And Processes For Plasma Filtering
App 20190119815 - Park; Soonam ;   et al.
2019-04-25
Plasma Reactor Having Digital Control Over Rotation Frequency Of A Microwave Field With Direct Up-conversion
App 20190108981 - Kobayashi; Satoru ;   et al.
2019-04-11
Optical Emission Spectroscopic Techniques For Monitoring Etching
App 20190019734 - Jung; Soonwook ;   et al.
2019-01-17
Plasma Health Determination In Semiconductor Substrate Processing Reactors
App 20180366378 - Kim; Junghoon ;   et al.
2018-12-20
Plasma Power Tool Matching Using Dc Voltage Feedback
App 20180366300 - Jung; Soonwook ;   et al.
2018-12-20
Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
Grant 10,153,133 - Kobayashi , et al. Dec
2018-12-11
Multi-zone Semiconductor Substrate Supports
App 20180337074 - Samir; Mehmet Tugrul ;   et al.
2018-11-22
Semiconductor Processing Chamber For Improved Precursor Flow
App 20180337024 - Tan; Tien Fak ;   et al.
2018-11-22
Semiconductor Processing Chamber For Multiple Precursor Flow
App 20180337057 - Samir; Mehmet Tugrul ;   et al.
2018-11-22
Optical Emission Spectroscopy (oes) For Remote Plasma Monitoring
App 20180294198 - Cho; Tae Seung ;   et al.
2018-10-11
Systems And Methods For Internal Surface Conditioning Assessment In Plasma Processing Equipment
App 20180240654 - Park; Soonam ;   et al.
2018-08-23
Systems And Methods For Radial And Azimuthal Control Of Plasma Uniformity
App 20180226230 - Kobayashi; Satoru ;   et al.
2018-08-09
Semiconductor processing with DC assisted RF power for improved control
Grant 10,032,606 - Yang , et al. July 24, 2
2018-07-24
Chemical control features in wafer process equipment
Grant 9,978,564 - Liang , et al. May 22, 2
2018-05-22
Systems and methods for internal surface conditioning assessment in plasma processing equipment
Grant 9,966,240 - Park , et al. May 8, 2
2018-05-08
Cobalt-containing material removal
Grant 9,947,549 - Wang , et al. April 17, 2
2018-04-17
Cobalt-containing Material Removal
App 20180102259 - Wang; Xikun ;   et al.
2018-04-12
Adjustable remote dissociation
Grant 9,922,840 - Park , et al. March 20, 2
2018-03-20
Ceramic Ring Test Device
App 20180073994 - Kobayashi; Satoru ;   et al.
2018-03-15
Particle generation suppresor by DC bias modulation
Grant 9,892,888 - Baek , et al. February 13, 2
2018-02-13
Alkali Metal And Alkali Earth Metal Reduction
App 20180025900 - Park; Soonam ;   et al.
2018-01-25
In-situ spatially resolved plasma monitoring by using optical emission spectroscopy
Grant 9,874,524 - Cho , et al. January 23, 2
2018-01-23
Ceramic ring test device
Grant 9,846,130 - Kobayashi , et al. December 19, 2
2017-12-19
Radial waveguide systems and methods for post-match control of microwaves
Grant 9,837,249 - Kobayashi , et al. December 5, 2
2017-12-05
Systems And Methods For Improved Semiconductor Etching And Component Protection
App 20170338134 - Tan; Tien Fak ;   et al.
2017-11-23
Systems And Methods For Improved Semiconductor Etching And Component Protection
App 20170338133 - Tan; Tien Fak ;   et al.
2017-11-23
High Temperature Chuck For Plasma Processing Systems
App 20170309509 - Tran; Toan Q. ;   et al.
2017-10-26
Dual discharge modes operation for remote plasma
Grant 9,773,648 - Cho , et al. September 26, 2
2017-09-26
In-situ Spatially Resolved Plasma Monitoring By Using Optical Emission Spectroscopy
App 20170254755 - CHO; Tae Seung ;   et al.
2017-09-07
Rotatable Substrate Support Having Radio Frequency Applicator
App 20170236693 - KOBAYASHI; Satoru ;   et al.
2017-08-17
Particle Generation Suppresor By Dc Bias Modulation
App 20170236689 - BAEK; Jonghoon ;   et al.
2017-08-17
Chemical Control Features In Wafer Process Equipment
App 20170236691 - Liang; Qiwei ;   et al.
2017-08-17
Process Chamber For Dielectric Gapfill
App 20170226637 - Lubomirsky; Dmitry ;   et al.
2017-08-10
Systems And Methods For Internal Surface Conditioning In Plasma Processing Equipment
App 20170229293 - Park; Soonam ;   et al.
2017-08-10
Low Temperature Chuck For Plasma Processing Systems
App 20170229326 - Tran; Toan Q. ;   et al.
2017-08-10
High temperature chuck for plasma processing systems
Grant 9,728,437 - Tran , et al. August 8, 2
2017-08-08
Selective etch for metal-containing materials
Grant 9,711,366 - Ingle , et al. July 18, 2
2017-07-18
Particle Generation Suppresor By Dc Bias Modulation
App 20170148611 - BAEK; Jonghoon ;   et al.
2017-05-25
Grooved insulator to reduce leakage current
Grant 9,659,753 - Cho , et al. May 23, 2
2017-05-23
Generalized Cylindrical Cavity System For Microwave Rotation And Impedance Shifting By Irises In A Power-supplying Waveguide
App 20170125219 - Kobayashi; Satoru ;   et al.
2017-05-04
Radial Waveguide Systems And Methods For Post-match Control Of Microwaves
App 20170110290 - Kobayashi; Satoru ;   et al.
2017-04-20
Particle generation suppressor by DC bias modulation
Grant 9,593,421 - Baek , et al. March 14, 2
2017-03-14
Process Chamber For Cyclic And Selective Material Removal And Etching
App 20170069466 - TRAN; Toan Q. ;   et al.
2017-03-09
Plasma Etching Systems And Methods With Secondary Plasma Injection
App 20170062184 - Tran; Toan Q. ;   et al.
2017-03-02
Radial waveguide systems and methods for post-match control of microwaves
Grant 9,564,296 - Kobayashi , et al. February 7, 2
2017-02-07
Adjustable Remote Dissociation
App 20170011931 - Park; Soonam ;   et al.
2017-01-12
Plasma-free metal etch
Grant 9,472,417 - Ingle , et al. October 18, 2
2016-10-18
Semiconductor Processing With Dc Assisted Rf Power For Improved Control
App 20160300694 - Yang; Jang-Gyoo ;   et al.
2016-10-13
Plasma generation chamber with smooth plasma resistant coating
Grant 9,460,898 - Kim , et al. October 4, 2
2016-10-04
Plasma Reactor Having Digital Control Over Rotation Frequency Of A Microwave Field With Direct Up-conversion
App 20160284519 - Kobayashi; Satoru ;   et al.
2016-09-29
Systems And Methods For Internal Surface Conditioning In Plasma Processing Equipment
App 20160240402 - Park; Soonam ;   et al.
2016-08-18
Low Temperature Chuck For Plasma Processing Systems
App 20160225652 - Tran; Toan Q. ;   et al.
2016-08-04
High Temperature Chuck For Plasma Processing Systems
App 20160225651 - Tran; Toan Q. ;   et al.
2016-08-04
Dry-etch selectivity
Grant 9,384,997 - Ren , et al. July 5, 2
2016-07-05
Radial Waveguide Systems And Methods For Post-match Control Of Microwaves
App 20160189933 - Kobayashi; Satoru ;   et al.
2016-06-30
Semiconductor processing with DC assisted RF power for improved control
Grant 9,373,517 - Yang , et al. June 21, 2
2016-06-21
Systems and methods for internal surface conditioning in plasma processing equipment
Grant 9,355,922 - Park , et al. May 31, 2
2016-05-31
Selective Etch For Metal-containing Materials
App 20160118268 - Ingle; Nitin K. ;   et al.
2016-04-28
Systems And Methods For Internal Surface Conditioning In Plasma Processing Equipment
App 20160104648 - Park; Soonam ;   et al.
2016-04-14
Systems And Methods For Internal Surface Conditioning Assessment In Plasma Processing Equipment
App 20160104606 - Park; Soonam ;   et al.
2016-04-14
Selective etch for metal-containing materials
Grant 9,299,582 - Ingle , et al. March 29, 2
2016-03-29
Radial waveguide systems and methods for post-match control of microwaves
Grant 9,299,537 - Kobayashi , et al. March 29, 2
2016-03-29
Radial waveguide systems and methods for post-match control of microwaves
Grant 9,299,538 - Kobayashi , et al. March 29, 2
2016-03-29
Grooved Insulator To Reduce Leakage Current
App 20160042920 - CHO; TAE ;   et al.
2016-02-11
Plasma Generation Chamber With Smooth Plasma Resistant Coating
App 20160042924 - Kim; Sung Je ;   et al.
2016-02-11
Chemical Control Features In Wafer Process Equipment
App 20160005572 - Liang; Qiwei ;   et al.
2016-01-07
Radial Waveguide Systems And Methods For Post-match Control Of Microwaves
App 20150270105 - Kobayashi; Satoru ;   et al.
2015-09-24
Radial Waveguide Systems And Methods For Post-match Control Of Microwaves
App 20150270106 - Kobayashi; Satoru ;   et al.
2015-09-24
Chemical control features in wafer process equipment
Grant 9,132,436 - Liang , et al. September 15, 2
2015-09-15
Ceramic Ring Test Device
App 20150241362 - Kobayashi; Satoru ;   et al.
2015-08-27
Polarity control for remote plasma
Grant 9,117,855 - Cho , et al. August 25, 2
2015-08-25
Polarity Control For Remote Plasma
App 20150155189 - Cho; Tae Seung ;   et al.
2015-06-04
Selective Etch For Metal-containing Materials
App 20150129545 - Ingle; Nitin K. ;   et al.
2015-05-14
Plasma-free Metal Etch
App 20150129546 - Ingle; Nitin K. ;   et al.
2015-05-14
Dry-etch Selectivity
App 20150132968 - Ren; He ;   et al.
2015-05-14
Particle Generation Suppresspr By Dc Bias Modulation
App 20150123541 - BAEK; Jonghoon ;   et al.
2015-05-07
Rotatable Substrate Support Having Radio Frequency Applicator
App 20150083042 - KOBAYASHI; Satoru ;   et al.
2015-03-26
Dual Discharge Modes Operation For Remote Plasma
App 20150060265 - Cho; Tae Seung ;   et al.
2015-03-05
Dry-etch selectivity
Grant 8,969,212 - Ren , et al. March 3, 2
2015-03-03
Flow Control Features Of Cvd Chambers
App 20150013793 - CHUC; Kien N. ;   et al.
2015-01-15
Flow control features of CVD chambers
Grant 8,894,767 - Chuc , et al. November 25, 2
2014-11-25
Dry-etch Selectivity
App 20140141621 - Ren; He ;   et al.
2014-05-22
Chemical Control Features In Wafer Process Equipment
App 20140097270 - Liang; Qiwei ;   et al.
2014-04-10
Process Chamber For Dielectric Gapfill
App 20140083362 - LUBOMIRSKY; Dmitry ;   et al.
2014-03-27
Semiconductor Processing With Dc Assisted Rf Power For Improved Control
App 20140057447 - Yang; Jang-Gyoo ;   et al.
2014-02-27
Precursor Distribution Features For Improved Deposition Uniformity
App 20130306758 - Park; Soonam ;   et al.
2013-11-21
Process Chamber For Dielectric Gapfill
App 20120073501 - Lubomirsky; Dmitry ;   et al.
2012-03-29
Remote plasma source seasoning
Grant 7,989,365 - Park , et al. August 2, 2
2011-08-02
Remote Plasma Source Seasoning
App 20110045676 - Park; Soonam ;   et al.
2011-02-24
Flow Control Features Of Cvd Chambers
App 20110011338 - Chuc; Kien N. ;   et al.
2011-01-20
Gas baffle and distributor for semiconductor processing chamber
Grant 7,799,704 - Park , et al. September 21, 2
2010-09-21
Gas baffle and distributor for semiconductor processing chamber
Grant 7,740,706 - Park , et al. June 22, 2
2010-06-22
Gas Baffle and Distributor for Semiconductor Processing Chamber
App 20090093129 - Park; Soonam ;   et al.
2009-04-09
Gas Baffle And Distributor For Semiconductor Processing Chamber
App 20080124944 - Park; Soonam ;   et al.
2008-05-29
Gas Baffle And Distributor For Semiconductor Processing Chamber
App 20080121179 - PARK; SOONAM ;   et al.
2008-05-29
In-situ process state monitoring of chamber
App 20080063810 - Park; Soonam ;   et al.
2008-03-13
In-situ process diagnostics of in-film aluminum during plasma deposition
App 20080029484 - Park; Soonam ;   et al.
2008-02-07
Process Chamber For Dielectric Gapfill
App 20070289534 - Lubomirsky; Dmitry ;   et al.
2007-12-20
Process Chamber For Dielectric Gapfill
App 20070277734 - Lubomirsky; Dmitry ;   et al.
2007-12-06
Process Chamber For Dielectric Gapfill
App 20070281106 - Lubomirsky; Dmitry ;   et al.
2007-12-06

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed