loadpatents
name:-0.72870397567749
name:-0.73120713233948
name:-0.096248149871826
Khakifirooz; Ali Patent Filings

Khakifirooz; Ali

Patent Applications and Registrations

Patent applications and USPTO patent grants for Khakifirooz; Ali.The latest application filed is for "simultaneous programming of multiple sub-blocks in nand memory structures".

Company Profile
109.200.200
  • Khakifirooz; Ali - Brookline MA
  • Khakifirooz; Ali - Los Altos CA
  • Khakifirooz; Ali - Santa Clara CA
  • Khakifirooz; Ali - Mountain View CA
  • Khakifirooz; Ali - Folsom CA
  • Khakifirooz; Ali - San Jose CA
  • Khakifirooz; Ali - Slingerlands NY
  • - Slingerlands NY US
  • - Mountain View CA US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Cache Processes With Adaptive Dynamic Start Voltage Calculation For Memory Devices
App 20220310178 - Yang; Xiang ;   et al.
2022-09-29
Simultaneous Programming Of Multiple Sub-blocks In Nand Memory Structures
App 20220310160 - Khakifirooz; Ali ;   et al.
2022-09-29
Defective bit line management in connection with a memory access
Grant 11,429,469 - Khakifirooz , et al. August 30, 2
2022-08-30
Selective removal of semiconductor fins
Grant 11,380,589 - Basker , et al. July 5, 2
2022-07-05
Nanofluid sensor with real-time spatial sensing
Grant 11,378,545 - Cheng , et al. July 5, 2
2022-07-05
Flash Memory Having Improved Performance As A Consequence Of Program Direction Along A Flash Storage Cell Column
App 20220084606 - YANG; Xiang ;   et al.
2022-03-17
Light emitting display
Grant 11,275,245 - Ahmed , et al. March 15, 2
2022-03-15
Automatic Read Calibration Operations
App 20220043596 - Madraswala; Aliasgar S. ;   et al.
2022-02-10
Light Emitting Display
App 20220043271 - AHMED; Khaled ;   et al.
2022-02-10
Soft Read Operations With Progressive Data Output
App 20210294698 - Khakifirooz; Ali ;   et al.
2021-09-23
Boosted bitlines for storage cell programmed state verification in a memory array
Grant 11,056,203 - Yang , et al. July 6, 2
2021-07-06
Defective Bit Line Management In Connection With A Memory Access
App 20210193200 - KHAKIFIROOZ; Ali ;   et al.
2021-06-24
Electrical fuse and/or resistor structures
Grant 11,043,451 - Basker , et al. June 22, 2
2021-06-22
SSD having a parallelized, multi-level program voltage verification
Grant 11,004,524 - Yang , et al. May 11, 2
2021-05-11
Micro Light-emitting Diode (led) Elements And Display
App 20210135048 - AHMED; Khaled ;   et al.
2021-05-06
Overcoming Error Correction Coding Mis-corrects In Non-volatile Memory
App 20210117270 - PARAT; Krishna K. ;   et al.
2021-04-22
Ssd Having A Parallelized, Multi-level Program Voltage Verification
App 20210104285 - YANG; Xiang ;   et al.
2021-04-08
Defective Bit Line Management In Connection With A Memory Access
App 20210074338 - KHAKIFIROOZ; Ali ;   et al.
2021-03-11
Defective bit line management in connection with a memory access
Grant 10,942,799 - Khakifirooz , et al. March 9, 2
2021-03-09
Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
Grant 10,937,863 - Cheng , et al. March 2, 2
2021-03-02
Micro light-emitting diode (LED) elements and display
Grant 10,923,622 - Ahmed , et al. February 16, 2
2021-02-16
Distributed decoupling capacitor
Grant 10,903,208 - Cheng , et al. January 26, 2
2021-01-26
Embedded source/drain structure for tall FinFet and method of formation
Grant 10,896,976 - Basker , et al. January 19, 2
2021-01-19
Dielectric isolated fin with improved fin profile
Grant 10,892,364 - Cheng , et al. January 12, 2
2021-01-12
Semiconductor structures having increased channel strain using fin release in gate regions
Grant 10,886,385 - Cheng , et al. January 5, 2
2021-01-05
Micro Light-emitting Diode Displays And Assembly Approaches
App 20200395403 - AHMED; Khaled ;   et al.
2020-12-17
Diffractive optical elements for wide field-of-view virtual reality devices and methods of manufacturing the same
Grant 10,845,609 - Ahmed , et al. November 24, 2
2020-11-24
Program verification time reduction in non-volatile memory devices
Grant 10,832,766 - Khakifirooz , et al. November 10, 2
2020-11-10
Simultaneously fabricating a high voltage transistor and a FinFET
Grant 10,811,410 - Cheng , et al. October 20, 2
2020-10-20
Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
Grant 10,734,499 - Cai , et al.
2020-08-04
Method and structure of stacked FinFET
Grant 10,720,528 - Cheng , et al.
2020-07-21
Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
Grant 10,714,570 - Cheng , et al.
2020-07-14
FinFET with epitaxial source and drain regions and dielectric isolated channel region
Grant 10,707,332 - Cheng , et al.
2020-07-07
Nanofluid Sensor With Real-time Spatial Sensing
App 20200191746 - Cheng; Kangguo ;   et al.
2020-06-18
Electrical fuse and/or resistor structures
Grant 10,679,939 - Basker , et al.
2020-06-09
Formation of FinFET junction
Grant 10,658,513 - Chan , et al.
2020-05-19
Distributed Decoupling Capacitor
App 20200152622 - Cheng; Kangguo ;   et al.
2020-05-14
Solid State Drive (ssd) With In-flight Erasure Iteration Suspension
App 20200135284 - DAYACAP; Justin R. ;   et al.
2020-04-30
Semiconductor structure including low-K spacer material
Grant 10,629,743 - Cai , et al.
2020-04-21
Proactive reduction of re-read triggering
Grant 10,629,273 - Chen , et al.
2020-04-21
Nanofluid sensor with real-time spatial sensing
Grant 10,605,768 - Cheng , et al.
2020-03-31
Selective removal of semiconductor fins
Grant 10,607,890 - Basker , et al.
2020-03-31
Semiconductor structure with a silicon germanium alloy fin and silicon germanium alloy pad structure
Grant 10,600,870 - Cheng , et al.
2020-03-24
Electrical fuse and/or resistors structures
Grant 10,593,622 - Basker , et al.
2020-03-17
Distributed decoupling capacitor
Grant 10,593,663 - Cheng , et al.
2020-03-17
Dielectric Isolated Fin With Improved Fin Profile
App 20200083374 - Cheng; Kangguo ;   et al.
2020-03-12
Selective Removal Of Semiconductor Fins
App 20200058554 - Basker; Veeraraghavan S. ;   et al.
2020-02-20
Embedded source/drain structure for tall FinFET and method of formation
Grant 10,559,690 - Basker , et al. Feb
2020-02-11
Dielectric isolated fin with improved fin profile
Grant 10,546,955 - Cheng , et al. Ja
2020-01-28
Micro Light-emitting Diode (led) Elements And Display
App 20190393377 - AHMED; Khaled ;   et al.
2019-12-26
Emissive devices for displays
Grant 10,510,974 - Ahmed , et al. Dec
2019-12-17
Embedded Source/drain Structure For Tall Finfet And Method Of Formation
App 20190371941 - Basker; Veeraraghavan S. ;   et al.
2019-12-05
Light Emitting Display
App 20190346680 - AHMED; Khaled ;   et al.
2019-11-14
Electrical Fuse And/or Resistor Structures
App 20190341348 - BASKER; Veeraraghavan S. ;   et al.
2019-11-07
Fabrication Of Perfectly Symmetric Gate-all-around Fet On Suspended Nanowire Using Interface Interaction
App 20190341451 - Cheng; Kangguo ;   et al.
2019-11-07
Fabrication Of Perfectly Symmetric Gate-all-around Fet On Suspended Nanowire Using Interface Interaction
App 20190326396 - Cheng; Kangguo ;   et al.
2019-10-24
Fin replacement in a field-effect transistor
Grant 10,453,959 - Cheng , et al. Oc
2019-10-22
FinFET with a silicon germanium alloy channel and method of fabrication thereof
Grant 10,446,650 - Cheng , et al. Oc
2019-10-15
Micro light-emitting diode (LED) elements and display
Grant 10,439,101 - Ahmed , et al. O
2019-10-08
Micro Light-emitting Diode (led) Display And Fluidic Self-assembly Of Same
App 20190296184 - AHMED; Khaled ;   et al.
2019-09-26
Simultaneously Fabricating A High Voltage Transistor And A Finfet
App 20190287968 - Cheng; Kangguo ;   et al.
2019-09-19
Electrical Fuse And/or Resistor Structures
App 20190287901 - BASKER; Veeraraghavan S. ;   et al.
2019-09-19
Electrical fuse and/or resistor structures
Grant 10,396,027 - Basker , et al. A
2019-08-27
Method for forming a semiconductor structure containing high mobility semiconductor channel materials
Grant 10,395,996 - Cheng , et al. A
2019-08-27
Method of fabricating electrostatically enhanced fins and stacked nanowire field effect transistors
Grant 10,396,214 - Cheng , et al. A
2019-08-27
Fabrication of perfectly symmetric gate-all-around FET on suspended nanowire using interface interaction
Grant 10,396,152 - Cheng , et al. A
2019-08-27
Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
Grant 10,388,754 - Cai , et al. A
2019-08-20
Hardware based cryptographic side-channel attack prevention
Grant 10,389,519 - Cheng , et al. A
2019-08-20
III-V solar cell structure with multi-layer back surface field
Grant 10,388,814 - Hekmatshoartabari , et al. A
2019-08-20
Embedded Source/drain Structure For Tall Finfet And Method Of Formation
App 20190252548 - Basker; Veeraraghavan S. ;   et al.
2019-08-15
Semiconductor Structures Having Increased Channel Strain Using Fin Release In Gate Regions
App 20190237561 - Cheng; Kangguo ;   et al.
2019-08-01
Storage System With Reconfigurable Number Of Bits Per Cell
App 20190227751 - KHAKIFIROOZ; Ali ;   et al.
2019-07-25
Micro light-emitting diode (LED) display and fluidic self-assembly of same
Grant 10,361,337 - Ahmed , et al.
2019-07-23
Electrical fuse and/or resistor structures
Grant 10,361,155 - Basker , et al.
2019-07-23
Semiconductor structure including low-k spacer material
Grant 10,361,311 - Cai , et al.
2019-07-23
Simultaneously fabricating a high voltage transistor and a FinFET
Grant 10,347,628 - Cheng , et al. July 9, 2
2019-07-09
Semiconductor structures having increased channel strain using fin release in gate regions
Grant 10,347,752 - Cheng , et al. July 9, 2
2019-07-09
Fin formation in fin field effect transistors
Grant 10,340,368 - Cheng , et al.
2019-07-02
Proactive Reduction Of Re-read Triggering
App 20190180829 - Chen; Lei ;   et al.
2019-06-13
Method for forming a semiconductor structure containing high mobility semiconductor channel materials
Grant 10,319,645 - Cheng , et al.
2019-06-11
Semiconductor structure with an L-shaped bottom
Grant 10,304,944 - Cheng , et al.
2019-05-28
Formation Of Finfet Junction
App 20190157458 - Chan; Kevin K. ;   et al.
2019-05-23
Emissive Devices For Displays
App 20190157598 - AHMED; Khaled ;   et al.
2019-05-23
Semiconductor Structure Including Low-k Spacer Material
App 20190148557 - Cai; Xiuyu ;   et al.
2019-05-16
Data storage device with operation based on temperature difference
Grant 10,276,252 - Madraswala , et al.
2019-04-30
Distributed decoupling capacitor
Grant 10,262,991 - Cheng , et al.
2019-04-16
Simplified multi-threshold voltage scheme for fully depleted SOI MOSFETs
Grant 10,262,905 - Cheng , et al.
2019-04-16
Resuming Storage Die Programming After Power Loss
App 20190103159 - KHAKIFIROOZ; Ali ;   et al.
2019-04-04
FinFETs with high quality source/drain structures
Grant 10,243,044 - Cheng , et al.
2019-03-26
Resuming storage die programming after power loss
Grant 10,242,734 - Khakifirooz , et al.
2019-03-26
Formation of FinFET junction
Grant 10,236,384 - Chan , et al.
2019-03-19
Method of formation of germanium nanowires on bulk substrates
Grant 10,217,818 - Cheng , et al. Feb
2019-02-26
Semiconductor structure including low-K spacer material
Grant 10,217,869 - Cai , et al. Feb
2019-02-26
Micro Light-emitting Diode (led) Display And Assembly Apparatus
App 20190058081 - Ahmed; Khaled ;   et al.
2019-02-21
Micro Light-emitting Diode (led) Elements And Display
App 20190058085 - Ahmed; Khaled ;   et al.
2019-02-21
Micro Light-emitting Diode (led) Display And Fluidic Self-assembly Of Same
App 20190058080 - Ahmed; Khaled ;   et al.
2019-02-21
Embedded Source/drain Structure For Tall Finfet And Method Of Formation
App 20190051751 - Basker; Veeraraghavan S. ;   et al.
2019-02-14
Selective epitaxy growth for semiconductor devices with fin field-effect transistors (FinFET)
Grant 10,204,916 - Basker , et al. Feb
2019-02-12
Program Verification Time Reduction In Non-volatile Memory Devices
App 20190043563 - Khakifirooz; Ali ;   et al.
2019-02-07
Temperature-dependent Read Operation Time Adjustment In Non-volatile Memory Devices
App 20190043567 - Khakifirooz; Ali ;   et al.
2019-02-07
Data Storage Device With Operation Based On Temperature Difference
App 20190043596 - Madraswala; Aliasgar S. ;   et al.
2019-02-07
Diffractive Optical Elements For Wide Field-of-view Virtual Reality Devices And Methods Of Manufacturing The Same
App 20190041656 - Ahmed; Khaled ;   et al.
2019-02-07
Emissive devices for displays
Grant 10,186,676 - Ahmed , et al. Ja
2019-01-22
Electrical Fuse And/or Resistor Structures
App 20190019752 - BASKER; Veeraraghavan S. ;   et al.
2019-01-17
Fin Formation In Fin Field Effect Transistors
App 20190019883 - Cheng; Kangguo ;   et al.
2019-01-17
Semiconductor device structure with 110-PFET and 111-NFET current flow direction
Grant 10,177,169 - Hashemi , et al. J
2019-01-08
Structure and method to prevent EPI short between trenches in FinFET eDRAM
Grant 10,177,154 - Aquilino , et al. J
2019-01-08
Method, System, And Apparatus For Detecting Failure Of Programming Of A Memory Device
App 20190006016 - KHAKIFIROOZ; Ali ;   et al.
2019-01-03
Capacitor structure compatible with nanowire CMOS
Grant 10,170,537 - Cheng , et al. J
2019-01-01
Heterogeneous source drain region and extension region
Grant 10,170,587 - Cheng , et al. J
2019-01-01
Perfectly symmetric gate-all-around FET on suspended nanowire
Grant 10,170,637 - Cheng , et al. J
2019-01-01
Stressed nanowire stack for field effect transistor
Grant 10,170,550 - Frank , et al. J
2019-01-01
FinFET device with abrupt junctions
Grant 10,170,499 - Cheng , et al. J
2019-01-01
Heterogeneous source drain region and extension region
Grant 10,158,001 - Cheng , et al. Dec
2018-12-18
P-FET with graded silicon-germanium channel
Grant 10,153,157 - Cheng , et al. Dec
2018-12-11
Method And Structure Of Stacked Finfet
App 20180350989 - Cheng; Kangguo ;   et al.
2018-12-06
High density vertical nanowire stack for field effect transistor
Grant 10,147,804 - Cheng , et al. De
2018-12-04
Electrical fuse and/or resistor structures
Grant 10,147,679 - Basker , et al. De
2018-12-04
Double aspect ratio trapping
Grant 10,147,602 - Cheng , et al. De
2018-12-04
Textured multi-junction solar cell and fabrication method
Grant 10,141,461 - Hekmatshoartabari , et al. Nov
2018-11-27
Fin formation in fin field effect transistors
Grant 10,141,428 - Cheng , et al. Nov
2018-11-27
Finfet With Epitaxial Source And Drain Regions And Dielectric Isolated Channel Region
App 20180323288 - CHENG; KANGGUO ;   et al.
2018-11-08
P-FET with strained silicon-germanium channel
Grant 10,109,709 - Cheng , et al. October 23, 2
2018-10-23
Coarse pass and fine pass multi-level NVM programming
Grant 10,109,361 - Khakifirooz , et al. October 23, 2
2018-10-23
Unmerged Epitaxial Process For Finfet Devices With Aggressive Fin Pitch Scaling
App 20180277648 - Cai; Xiuyu ;   et al.
2018-09-27
Semiconductor device with low-K gate cap and self-aligned contact
Grant 10,084,050 - Cheng , et al. September 25, 2
2018-09-25
Method and structure for forming on-chip anti-fuse with reduced breakdown voltage
Grant 10,083,907 - Cheng , et al. September 25, 2
2018-09-25
Hybrid logic and SRAM contacts
Grant 10,083,972 - Basker , et al. September 25, 2
2018-09-25
FinFET with epitaxial source and drain regions and dielectric isolated channel region
Grant 10,084,067 - Cheng , et al. September 25, 2
2018-09-25
Method and structure of stacked FinFET
Grant 10,084,090 - Cheng , et al. September 25, 2
2018-09-25
Method and structure for improving FinFET with epitaxy source/drain
Grant 10,084,041 - Cheng , et al. September 25, 2
2018-09-25
P-FET with strained silicon-germanium channel
Grant 10,079,181 - Cheng , et al. September 18, 2
2018-09-18
Method to form strained nFET and strained pFET nanowires on a same substrate
Grant 10,079,303 - Cheng , et al. September 18, 2
2018-09-18
Low-temperature Selective Epitaxial Growth Of Silicon For Device Integration
App 20180258549 - HEKMATSHOAR-TABARI; BAHMAN ;   et al.
2018-09-13
Emissive Devices For Displays
App 20180261785 - AHMED; Khaled ;   et al.
2018-09-13
Semiconductor structures having increased channel strain using fin release in gate regions
Grant 10,056,474 - Cheng , et al. August 21, 2
2018-08-21
Forming a CMOS with dual strained channels
Grant 10,049,945 - Cheng , et al. August 14, 2
2018-08-14
Silicon heterojunction photovoltaic device with wide band gap emitter
Grant 10,050,166 - Hekmatshoar-Tabari , et al. August 14, 2
2018-08-14
Semiconductor Structure Including Low-k Spacer Material
App 20180219096 - Cai; Xiuyu ;   et al.
2018-08-02
Method Of Fabricating Electrostatically Enhanced Fins And Stacked Nanowire Field Effect Transistors
App 20180212066 - CHENG; Kangguo ;   et al.
2018-07-26
FinFET with reduced capacitance
Grant 10,032,773 - Basker , et al. July 24, 2
2018-07-24
Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
Grant 10,032,884 - Cai , et al. July 24, 2
2018-07-24
Electrical fuse and/or resistor structures
Grant 10,020,257 - Basker , et al. July 10, 2
2018-07-10
Low-temperature selective epitaxial growth of silicon for device integration
Grant 10,011,920 - Hekmatshoar-Tabari , et al. July 3, 2
2018-07-03
Stressed nanowire stack for field effect transistor
Grant 10,014,371 - Frank , et al. July 3, 2
2018-07-03
Local SOI fins with multiple heights
Grant 10,014,322 - Cheng , et al. July 3, 2
2018-07-03
Semiconductor structure with an L-shaped bottom plate
Grant 10,008,585 - Cheng , et al. June 26, 2
2018-06-26
Gate structure cut after formation of epitaxial active regions
Grant 10,008,415 - Cai , et al. June 26, 2
2018-06-26
FinFET having highly doped source and drain regions
Grant 10,002,948 - Cheng , et al. June 19, 2
2018-06-19
Structure and method for compressively strained silicon germanium fins for pFET devices and tensily strained silicon fins for nFET devices
Grant 9,997,540 - Cheng , et al. June 12, 2
2018-06-12
FinFET semiconductor device having integrated SiGe fin
Grant 9,985,030 - Cheng , et al. May 29, 2
2018-05-29
Replacement low-k spacer
Grant 9,985,135 - Cai , et al. May 29, 2
2018-05-29
FinFET device with abrupt junctions
Grant 9,978,775 - Cheng , et al. May 22, 2
2018-05-22
Semiconductor Structures Having Increased Channel Strain Using Fin Release In Gate Regions
App 20180130894 - CHENG; Kangguo ;   et al.
2018-05-10
Strain release in pFET regions
Grant 9,966,387 - Cheng , et al. May 8, 2
2018-05-08
Semiconductor device with gate structures having low-K spacers on sidewalls and electrical contacts therebetween
Grant 9,966,374 - Cheng , et al. May 8, 2
2018-05-08
Dielectric Isolated Fin With Improved Fin Profile
App 20180122944 - Cheng; Kangguo ;   et al.
2018-05-03
Capacitor strap connection structure and fabrication method
Grant 9,960,168 - Basker , et al. May 1, 2
2018-05-01
Semiconductor structures having increased channel strain using fin release in gate regions
Grant 9,954,083 - Cheng , et al. April 24, 2
2018-04-24
Method of fabricating anti-fuse for silicon on insulator devices
Grant 9,953,918 - Cheng , et al. April 24, 2
2018-04-24
Electrostatically enhanced fins field effect transistors
Grant 9,954,116 - Cheng , et al. April 24, 2
2018-04-24
Formation Of Finfet Junction
App 20180108778 - Chan; Kevin K. ;   et al.
2018-04-19
Perfectly Symmetric Gate-all-around Fet On Suspended Nanowire
App 20180108787 - Cheng; Kangguo ;   et al.
2018-04-19
Semiconductor device structure with 110-PFET and 111-NFET current flow direction
Grant 9,947,689 - Hashemi , et al. April 17, 2
2018-04-17
FinFET with reduced capacitance
Grant 9,947,763 - Basker , et al. April 17, 2
2018-04-17
Semiconductor Device Structure With 110-PFET and 111-NFET Current Flow Direction
App 20180102295 - Hashemi; Pouya ;   et al.
2018-04-12
Selective Epitaxy Growth For Semiconductor Devices With Fin Field-effect Transistors (finfet)
App 20180102369 - Basker; Veeraraghavan S. ;   et al.
2018-04-12
Electrical fuse and/or resistor structures
Grant 9,941,205 - Basker , et al. April 10, 2
2018-04-10
Finfet with reduced capacitance
Grant 9,941,385 - Basker , et al. April 10, 2
2018-04-10
FinFET DEVICE WITH ABRUPT JUNCTIONS
App 20180097017 - Cheng; Kangguo ;   et al.
2018-04-05
Hardware Based Cryptographic Side-channel Attack Prevention
App 20180097612 - Cheng; Kangguo ;   et al.
2018-04-05
FinFET having highly doped source and drain regions
Grant 9,935,181 - Cheng , et al. April 3, 2
2018-04-03
Semiconductor Structure Including Low-k Spacer Material
App 20180090327 - Cai; Xiuyu ;   et al.
2018-03-29
Selective epitaxy growth for semiconductor devices with fin field-effect transistors (FinFET)
Grant 9,929,163 - Basker , et al. March 27, 2
2018-03-27
Dielectric isolated fin with improved fin profile
Grant 9,917,188 - Cheng , et al. March 13, 2
2018-03-13
Nanowire transistor structures with merged source/drain regions using auxiliary pillars
Grant 9,917,200 - Hashemi , et al. March 13, 2
2018-03-13
Replacement fin process in SSOI wafer
Grant 9,917,105 - Doris , et al. March 13, 2
2018-03-13
Method of fabricating anti-fuse for silicon on insulator devices
Grant 9,917,052 - Cheng , et al. March 13, 2
2018-03-13
Dual channel material for finFET for high performance CMOS
Grant 9,917,015 - Cheng , et al. March 13, 2
2018-03-13
Forming A Cmos With Dual Strained Channels
App 20180068905 - Cheng; Kangguo ;   et al.
2018-03-08
Electrical Fuse And/or Resistor Structures
App 20180068948 - BASKER; Veeraraghavan S. ;   et al.
2018-03-08
Heterogeneous Source Drain Region And Extension Region
App 20180069124 - Cheng; Kangguo ;   et al.
2018-03-08
III-V FinFET CMOS with III-V and germanium-containing channel closely spaced
Grant 9,911,739 - Cheng , et al. March 6, 2
2018-03-06
Forming a CMOS with dual strained channels
Grant 9,911,662 - Cheng , et al. March 6, 2
2018-03-06
Dual channel material for finFET for high performance CMOS
Grant 9,911,741 - Cheng , et al. March 6, 2
2018-03-06
Electrical Fuse And/or Resistor Structures
App 20180053720 - BASKER; Veeraraghavan S. ;   et al.
2018-02-22
Simultaneously fabricating a high voltage transistor and a finFET
Grant 9,899,378 - Cheng , et al. February 20, 2
2018-02-20
Self aligned structure and method for high-K metal gate work function tuning
Grant 9,899,384 - Cheng , et al. February 20, 2
2018-02-20
Electrical Fuse And/or Resistor Structures
App 20180047671 - BASKER; Veeraraghavan S. ;   et al.
2018-02-15
Forming a CMOS with dual strained channels
Grant 9,892,978 - Cheng , et al. February 13, 2
2018-02-13
Replacement low-k spacer
Grant 9,892,926 - Cai , et al. February 13, 2
2018-02-13
Electrical Fuse And/or Resistor Structures
App 20180040557 - BASKER; Veeraraghavan S. ;   et al.
2018-02-08
FINFETs WITH HIGH QUALITY SOURCE/DRAIN STRUCTURES
App 20180033857 - Cheng; Kangguo ;   et al.
2018-02-01
Semiconductor structure with an L-shaped bottom plate
Grant 9,871,118 - Cheng , et al. January 16, 2
2018-01-16
Electrical fuse and/or resistor structures
Grant 9,870,989 - Basker , et al. January 16, 2
2018-01-16
Formation of FinFET junction
Grant 9,865,737 - Chan , et al. January 9, 2
2018-01-09
Formation of strained fins in a finFET device
Grant 9,865,511 - Hashemi , et al. January 9, 2
2018-01-09
Semiconductor device including nanowire transistors with hybrid channels
Grant 9,859,369 - Cheng , et al. January 2, 2
2018-01-02
Field-effect transistor with aggressively strained fins
Grant 9,859,425 - Hashemi , et al. January 2, 2
2018-01-02
Simultaneously Fabricating A High Voltage Transistor And A Finfet
App 20170373061 - Cheng; Kangguo ;   et al.
2017-12-28
Perfectly symmetric gate-all-around FET on suspended nanowire
Grant 9,853,166 - Cheng , et al. December 26, 2
2017-12-26
Structure And Method To Prevent Epi Short Between Trenches In Finfet Edram
App 20170365606 - Aquilino; Michael V. ;   et al.
2017-12-21
Method And Structure For Improving Finfet With Epitaxy Source/drain
App 20170358643 - Cheng; Kangguo ;   et al.
2017-12-14
Semiconductor Structure With A Silicon Germanium Alloy Fin And Silicon Germanium Alloy Pad Structure
App 20170352729 - Cheng; Kangguo ;   et al.
2017-12-07
FinFET device with abrupt junctions
Grant 9,837,440 - Cheng , et al. December 5, 2
2017-12-05
Method and structure for improving finFET with epitaxy source/drain
Grant 9,831,241 - Cheng , et al. November 28, 2
2017-11-28
Nanofluid Sensor With Real-time Spatial Sensing
App 20170336349 - Cheng; Kangguo ;   et al.
2017-11-23
FinFET with dielectric isolated channel
Grant 9,825,174 - Cheng , et al. November 21, 2
2017-11-21
Structure and method to prevent EPI short between trenches in FINFET eDRAM
Grant 9,818,741 - Aquilino , et al. November 14, 2
2017-11-14
Embedded source/drain structure for tall finFET and method of formation
Grant 9,818,877 - Basker , et al. November 14, 2
2017-11-14
Self-limiting silicide in highly scaled fin technology
Grant 9,812,357 - Cheng , et al. November 7, 2
2017-11-07
High germanium content silicon germanium fins
Grant 9,812,530 - Balakrishnan , et al. November 7, 2
2017-11-07
Faceted structure formed by self-limiting etch
Grant 9,812,394 - Cheng , et al. November 7, 2
2017-11-07
Micro wall light emitting diodes
Grant 9,806,127 - Ahmed , et al. October 31, 2
2017-10-31
Silicon Heterojunction Photovoltaic Device With Wide Band Gap Emitter
App 20170309768 - Hekmatshoar-Tabari; Bahman ;   et al.
2017-10-26
FINFETs with high quality source/drain structures
Grant 9,799,730 - Cheng , et al. October 24, 2
2017-10-24
Method of forming field effect transistors (FETs) with abrupt junctions and integrated circuit chips with the FETs
Grant 9,799,569 - Cheng , et al. October 24, 2
2017-10-24
Deep trench capacitor with metal plate
Grant 9,793,341 - Khakifirooz , et al. October 17, 2
2017-10-17
CMOS transistors including gate spacers of the same thickness
Grant 9,793,274 - Basker , et al. October 17, 2
2017-10-17
FinFET spacer without substrate gouging or spacer foot
Grant 9,793,379 - Basker , et al. October 17, 2
2017-10-17
Double aspect ratio trapping
Grant 9,786,497 - Cheng , et al. October 10, 2
2017-10-10
High aspect ratio trapping semiconductor with uniform height and isolated from bulk substrate
Grant 9,780,173 - Cheng , et al. October 3, 2
2017-10-03
Iii-v Solar Cell Structure With Multi-layer Back Surface Field
App 20170278999 - Hekmatshoartabari; Bahman ;   et al.
2017-09-28
Method to controllably etch silicon recess for ultra shallow junctions
Grant 9,773,907 - Cheng , et al. September 26, 2
2017-09-26
FinFET semiconductor devices with replacement gate structures
Grant 9,773,867 - Xie , et al. September 26, 2
2017-09-26
Strained FinFET by epitaxial stressor independent of gate pitch
Grant 9,773,905 - Cheng , et al. September 26, 2
2017-09-26
Semiconductor device structure with 110-PFET and 111-NFET current flow direction
Grant 9,761,499 - Hashemi , et al. September 12, 2
2017-09-12
Strain release in PFET regions
Grant 9,761,610 - Cheng , et al. September 12, 2
2017-09-12
Semiconductor structure with a silicon germanium alloy fin and silicon germanium alloy pad structure
Grant 9,761,667 - Cheng , et al. September 12, 2
2017-09-12
Method and structure to form tensile strained SiGe fins and compressive strained SiGe fins on a same substrate
Grant 9,754,941 - Cheng , et al. September 5, 2
2017-09-05
Double Aspect Ratio Trapping
App 20170250074 - Cheng; Kangguo ;   et al.
2017-08-31
Switched-capacitor biosensor device
Grant 9,746,442 - Hekmatshoartabari , et al. August 29, 2
2017-08-29
Method for forming through silicon via in N+ epitaxy wafers with reduced parasitic capacitance
Grant 9,748,114 - Cheng , et al. August 29, 2
2017-08-29
SiGe and Si FinFET structures and methods for making the same
Grant 9,748,365 - Cheng , et al. August 29, 2
2017-08-29
Dummy gate structure for electrical isolation of a fin DRAM
Grant 9,741,722 - Barth, Jr. , et al. August 22, 2
2017-08-22
Preventing unauthorized use of integrated circuits for radiation-hard applications
Grant 9,741,672 - Cheng , et al. August 22, 2
2017-08-22
Dynamic random access memory cell with self-aligned strap
Grant 9,735,162 - Barth, Jr. , et al. August 15, 2
2017-08-15
Nanofluid sensor with real-time spatial sensing
Grant 9,733,210 - Cheng , et al. August 15, 2
2017-08-15
finFET having highly doped source and drain regions
Grant 9,735,257 - Cheng , et al. August 15, 2
2017-08-15
Method to controllably etch silicon recess for ultra shallow junctions
Grant 9,735,272 - Cheng , et al. August 15, 2
2017-08-15
Method of co-integration of strained silicon and strained germanium in semiconductor devices including fin structures
Grant 9,735,160 - Cheng , et al. August 15, 2
2017-08-15
Method For Forming A Semiconductor Structure Containing High Mobility Semiconductor Channel Materials
App 20170229347 - Cheng; Kangguo ;   et al.
2017-08-10
Method And Structure For Forming On-chip Anti-fuse With Reduced Breakdown Voltage
App 20170229394 - Cheng; Kangguo ;   et al.
2017-08-10
Fin formation in fin field effect transistors
Grant 9,728,625 - Cheng , et al. August 8, 2
2017-08-08
Semiconductor device including embedded crystalline back-gate bias planes, related design structure and method of fabrication
Grant 9,728,649 - Adam , et al. August 8, 2
2017-08-08
Method For Forming A Semiconductor Structure Containing High Mobility Semiconductor Channel Materials
App 20170221774 - Cheng; Kangguo ;   et al.
2017-08-03
Electrical Fuse And/or Resistor Structures
App 20170221822 - BASKER; Veeraraghavan S. ;   et al.
2017-08-03
Electrical fuse and/or resistor structures
Grant 9,721,885 - Basker , et al. August 1, 2
2017-08-01
Silicon-germanium fin formation
Grant 9,721,851 - Cheng , et al. August 1, 2
2017-08-01
Silicon heterojunction photovoltaic device with wide band gap emitter
Grant 9,716,201 - Hekmatshoar-Tabari , et al. July 25, 2
2017-07-25
Electrical fuse and/or resistor structures
Grant 9,716,064 - Basker , et al. July 25, 2
2017-07-25
Nanowire Transistor Structures With Merged Source/drain Regions Using Auxiliary Pillars
App 20170200832 - Hashemi; Pouya ;   et al.
2017-07-13
Method of preventing epitaxy creeping under the spacer
Grant 9,704,993 - Basker , et al. July 11, 2
2017-07-11
Electrical Fuse And/or Resistor Structures
App 20170194251 - BASKER; Veeraraghavan S. ;   et al.
2017-07-06
Replacement Low-k Spacer
App 20170194499 - Cai; Xiuyu ;   et al.
2017-07-06
Replacement Low-k Spacer
App 20170194153 - Cai; Xiuyu ;   et al.
2017-07-06
Fin Formation In Fin Field Effect Transistors
App 20170194463 - Cheng; Kangguo ;   et al.
2017-07-06
Fabrication of III-V-on-insulator platforms for semiconductor devices
Grant 9,698,046 - Basu , et al. July 4, 2
2017-07-04
Semiconductor Device Including Nanowire Transistors With Hybrid Channels
App 20170186841 - Cheng; Kangguo ;   et al.
2017-06-29
Dual epitaxy CMOS processing using selective nitride formation for reduced gate pitch
Grant 9,691,900 - Cheng , et al. June 27, 2
2017-06-27
Local Soi Fins With Multiple Heights
App 20170179163 - Cheng; Kangguo ;   et al.
2017-06-22
METHOD TO FORM STRAINED nFET AND STRAINED pFET NANOWIRES ON A SAME SUBSTRATE
App 20170179289 - Cheng; Kangguo ;   et al.
2017-06-22
Selective Removal Of Semiconductor Fins
App 20170178960 - Basker; Veeraraghavan S. ;   et al.
2017-06-22
Inter-level dielectric layer in replacement metal gates and resistor fabrication
Grant 9,685,434 - Cheng , et al. June 20, 2
2017-06-20
Simultaneously Fabricating A High Voltage Transistor And A Finfet
App 20170170172 - Cheng; Kangguo ;   et al.
2017-06-15
Dual epitaxy CMOS processing using selective nitride formation for reduced gate pitch
Grant 9,680,015 - Cheng , et al. June 13, 2
2017-06-13
III-V solar cell structure with multi-layer back surface field
Grant 9,680,045 - Hekmatshoartabari , et al. June 13, 2
2017-06-13
Field effect transistors with varying threshold voltages
Grant 9,673,196 - Adam , et al. June 6, 2
2017-06-06
ESD device compatible with bulk bias capability
Grant 9,673,190 - Cheng , et al. June 6, 2
2017-06-06
Semiconductor structure having a source and a drain with reverse facets
Grant 9,673,296 - Adam , et al. June 6, 2
2017-06-06
Methods of forming fin isolation regions on FinFET semiconductor devices by implantation of an oxidation-retarding material
Grant 9,673,083 - Jacob , et al. June 6, 2
2017-06-06
Finfet With Epitaxial Source And Drain Regions And Dielectric Isolated Channel Region
App 20170154982 - CHENG; KANGGUO ;   et al.
2017-06-01
Semiconductor device structure with 110-PFET and 111-NFET curent flow direction
Grant 9,666,493 - Hashemi , et al. May 30, 2
2017-05-30
Structure and method for adjusting threshold voltage of the array of transistors
Grant 9,666,267 - Cai , et al. May 30, 2
2017-05-30
Semiconductor on insulator substrate with back bias
Grant 9,666,615 - Hekmatshoartabari , et al. May 30, 2
2017-05-30
Hybrid Logic And Sram Contacts
App 20170148799 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
Selective Epitaxy Growth For Semiconductor Devices With Fin Field-effect Transistors (finfet)
App 20170148796 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
Method Of Fabricating Anti-fuse For Silicon On Insulator Devices
App 20170148733 - Cheng; Kangguo ;   et al.
2017-05-25
Method Of Fabricating Anti-fuse For Silicon On Insulator Devices
App 20170148734 - Cheng; Kangguo ;   et al.
2017-05-25
Fin Replacement In A Field-effect Transistor
App 20170148916 - Cheng; Kangguo ;   et al.
2017-05-25
DUAL CHANNEL MATERIAL FOR finFET FOR HIGH PERFORMANCE CMOS
App 20170148685 - CHENG; Kangguo ;   et al.
2017-05-25
Selective Epitaxy Growth For Semiconductor Devices With Fin Fieldeffect Transistors (finfet)
App 20170148798 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
DUAL CHANNEL MATERIAL FOR finFET FOR HIGH PERFORMANCE CMOS
App 20170148793 - CHENG; Kangguo ;   et al.
2017-05-25
Replacement Low-k Spacer
App 20170148894 - Cai; Xiuyu ;   et al.
2017-05-25
Selective epitaxy growth for semiconductor devices with fin field-effect transistors (FinFET)
Grant 9,659,942 - Basker , et al. May 23, 2
2017-05-23
Fin replacement in a field-effect transistor
Grant 9,660,059 - Cheng , et al. May 23, 2
2017-05-23
Contact formation to 3D monolithic stacked FinFETs
Grant 9,659,963 - Cheng , et al. May 23, 2
2017-05-23
Fin cut on sit level
Grant 9,659,931 - Cheng , et al. May 23, 2
2017-05-23
Method and structure for preventing epi merging in embedded dynamic random access memory
Grant 9,659,964 - Basker , et al. May 23, 2
2017-05-23
Replacement low-k spacer
Grant 9,660,050 - Cai , et al. May 23, 2
2017-05-23
Gate Structure Cut After Formation Of Epitaxial Active Regions
App 20170140994 - Cai; Xiuyu ;   et al.
2017-05-18
Structure and method to make strained FinFET with improved junction capacitance and low leakage
Grant 9,653,541 - Cheng , et al. May 16, 2
2017-05-16
Double aspect ratio trapping
Grant 9,653,285 - Cheng , et al. May 16, 2
2017-05-16
Method And Structure Of Stacked Finfet
App 20170133507 - Cheng; Kangguo ;   et al.
2017-05-11
Strained FinFET by epitaxial stressor independent of gate pitch
Grant 9,647,113 - Cheng , et al. May 9, 2
2017-05-09
Semiconductor Device Structure With 110-PFET and 111-NFET Current Flow Direction
App 20170125446 - Hashemi; Pouya ;   et al.
2017-05-04
STRUCTURE AND METHOD FOR COMPRESSIVELY STRAINED SILICON GERMANIUM FINS FOR pFET DEVICES AND TENSILY STRAINED SILICON FINS FOR nFET DEVICES
App 20170125447 - Cheng; Kangguo ;   et al.
2017-05-04
P-fet With Strained Silicon-germanium Channel
App 20170125303 - Cheng; Kangguo ;   et al.
2017-05-04
Method Of Forming Field Effect Transistors (fets) With Abrupt Junctions And Integrated Circuit Chips With The Fets
App 20170117189 - Cheng; Kangguo ;   et al.
2017-04-27
Unmerged Epitaxial Process For Finfet Devices With Aggressive Fin Pitch Scaling
App 20170117274 - Cai; Xiuyu ;   et al.
2017-04-27
Unmerged Epitaxial Process For Finfet Devices With Aggressive Fin Pitch Scaling
App 20170117276 - Cai; Xiuyu ;   et al.
2017-04-27
High Density Vertical Nanowire Stack For Field Effect Transistor
App 20170117389 - Cheng; Kangguo ;   et al.
2017-04-27
Gate structure cut after formation of epitaxial active regions
Grant 9,633,906 - Cai , et al. April 25, 2
2017-04-25
Method and structure for forming on-chip anti-fuse with reduced breakdown voltage
Grant 9,633,943 - Cheng , et al. April 25, 2
2017-04-25
Method for forming a semiconductor structure containing high mobility semiconductor channel materials
Grant 9,633,908 - Cheng , et al. April 25, 2
2017-04-25
Simplified multi-threshold voltage scheme for fully depleted SOI MOSFETs
Grant 9,633,911 - Cheng , et al. April 25, 2
2017-04-25
CMOS structure on SSOI wafer
Grant 9,634,027 - Doris , et al. April 25, 2
2017-04-25
Forming reliable contacts on tight semiconductor pitch
Grant 9,634,004 - Cai , et al. April 25, 2
2017-04-25
Semiconductor On Insulator Substrate With Back Bias
App 20170110491 - Hekmatshoartabari; Bahman ;   et al.
2017-04-20
Faceted Structure Formed By Self-limiting Etch
App 20170103947 - Cheng; Kangguo ;   et al.
2017-04-13
Forming A Cmos With Dual Strained Channels
App 20170098583 - Cheng; Kangguo ;   et al.
2017-04-06
Esd Device Compatible With Bulk Bias Capability
App 20170098646 - Cheng; Kangguo ;   et al.
2017-04-06
Forming A Cmos With Dual Strained Channels
App 20170092546 - Cheng; Kangguo ;   et al.
2017-03-30
Low-temperature Selective Epitaxial Growth Of Silicon For Device Integration
App 20170081781 - HEKMATSHOAR-TABARI; BAHMAN ;   et al.
2017-03-23
Forming Reliable Contacts On Tight Semiconductor Pitch
App 20170069627 - Cai; Xiuyu ;   et al.
2017-03-09
Formation of SiGe Nanotubes
App 20170069492 - Cheng; Kangguo ;   et al.
2017-03-09
METHOD TO FORM STRAINED nFET AND STRAINED pFET NANOWIRES ON A SAME SUBSTRATE
App 20170062428 - Cheng; Kangguo ;   et al.
2017-03-02
Method Of Fabricating Electrostatically Enhanced Fins And Stacked Nanowire Field Effect Transistors
App 20170054035 - CHENG; Kangguo ;   et al.
2017-02-23
Semiconductor Structures Having Increased Channel Strain Using Fin Release In Gate Regions
App 20170053994 - Cheng; Kangguo ;   et al.
2017-02-23
Semiconductor Structures Having Increased Channel Strain Using Fin Release In Gate Regions
App 20170053839 - Cheng; Kangguo ;   et al.
2017-02-23
Strain Release In Pfet Regions
App 20170053943 - Cheng; Kangguo ;   et al.
2017-02-23
Electrical Fuse And/or Resistor Structures
App 20170047288 - BASKER; Veeraraghavan S. ;   et al.
2017-02-16
Electrical Fuse And/or Resistor Structures
App 20170047286 - BASKER; Veeraraghavan S. ;   et al.
2017-02-16
Finfet With Reduced Capacitance
App 20170047327 - Basker; Veeraraghavan S. ;   et al.
2017-02-16
Method And Structure For Forming On-chip Anti-fuse With Reduced Breakdown Voltage
App 20170047285 - Cheng; Kangguo ;   et al.
2017-02-16
Dielectric Isolated Fin With Improved Fin Profile
App 20170040452 - Cheng; Kangguo ;   et al.
2017-02-09
Semiconductor Structure With A Silicon Germanium Alloy Fin And Silicon Germanium Alloy Pad Structure
App 20170033182 - Cheng; Kangguo ;   et al.
2017-02-02
Shallow Trench Isolation Regions Made From Crystalline Oxides
App 20170025305 - Cheng; Kangguo ;   et al.
2017-01-26
Method And Structure Of Forming Controllable Unmerged Epitaxial Material
App 20170012042 - Cai; Xiuyu ;   et al.
2017-01-12
Distributed Decoupling Capacitor
App 20170005088 - Cheng; Kangguo ;   et al.
2017-01-05
Distributed Decoupling Capacitor
App 20170005087 - Cheng; Kangguo ;   et al.
2017-01-05
Structure And Method For Adjusting Threshold Voltage Of The Array Of Transistors
App 20170004873 - Cai; Jin ;   et al.
2017-01-05
Structure And Method To Prevent Epi Short Between Trenches In Finfet Edram
App 20170005098 - Aquilino; Michael V. ;   et al.
2017-01-05
Finfet Having Highly Doped Source And Drain Regions
App 20170005177 - Cheng; Kangguo ;   et al.
2017-01-05
Iii-v Solar Cell Structure With Multi-layer Back Surface Field
App 20160380143 - Hekmatshoartabari; Bahman ;   et al.
2016-12-29
Contact Formation To 3d Monolithic Stacked Finfets
App 20160380002 - Cheng; Kangguo ;   et al.
2016-12-29
Formation Of Strained Fins In A Finfet Device
App 20160379895 - Hashemi; Pouya ;   et al.
2016-12-29
Method Of Forming Field Effect Transistors (fets) With Abrupt Junctions And Integrated Circuit Chips With The Fets
App 20160380074 - Cheng; Kangguo ;   et al.
2016-12-29
Semiconductor Device Structure With 110-PFET and 111-NFET Curent Flow Direction
App 20160380000 - Hashemi; Pouya ;   et al.
2016-12-29
Semiconductor Device Structure With 110-PFET and 111-NFET Current Flow Direction
App 20160379894 - Hashemi; Pouya ;   et al.
2016-12-29
Method For Forming A Semiconductor Structure Containing High Mobility Semiconductor Channel Materials
App 20160372379 - Cheng; Kangguo ;   et al.
2016-12-22
Recessing Rmg Metal Gate Stack For Forming Self-aligned Contact
App 20160372576 - Cai; Xiuyu ;   et al.
2016-12-22
Method Of Source/drain Height Control In Dual Epi Finfet Formation
App 20160372383 - Basker; Veeraraghavan ;   et al.
2016-12-22
Method And Structure To Form Tensile Strained Sige Fins And Compressive Strained Sige Fins On A Same Substrate
App 20160358922 - Cheng; Kangguo ;   et al.
2016-12-08
Strain Release In Pfet Regions
App 20160359003 - Cheng; Kangguo ;   et al.
2016-12-08
Heterogeneous Source Drain Region And Extension Region
App 20160359046 - Cheng; Kangguo ;   et al.
2016-12-08
Silicon-germanium Fin Formation
App 20160351454 - Cheng; Kangguo ;   et al.
2016-12-01
Semiconductor Structure With An L-shaped Bottom Plate
App 20160351689 - Cheng; Kangguo ;   et al.
2016-12-01
FINFETs WITH HIGH QUALITY SOURCE/DRAIN STRUCTURES
App 20160351662 - Cheng; Kangguo ;   et al.
2016-12-01
Dual Work Function Integration For Stacked Finfet
App 20160336235 - Cheng; Kangguo ;   et al.
2016-11-17
Local Soi Fins With Multiple Heights
App 20160336428 - Cheng; Kangguo ;   et al.
2016-11-17
Dual Work Function Integration For Stacked Finfet
App 20160336421 - Cheng; Kangguo ;   et al.
2016-11-17
Fin Cut For Tight Fin Pitch By Two Different Sit Hard Mask Materials On Fin
App 20160329402 - Cheng; Kangguo ;   et al.
2016-11-10
Method And Structure For Preventing Epi Merging In Embedded Dynamic Random Access Memory
App 20160322393 - Basker; Veeraraghavan S. ;   et al.
2016-11-03
Preventing Unauthorized Use Of Integrated Circuits For Radiation-hard Applications
App 20160315056 - Cheng; Kangguo ;   et al.
2016-10-27
Self Aligned Structure And Method For High-k Metal Gate Work Function Tuning
App 20160315083 - Cheng; Kangguo ;   et al.
2016-10-27
Semiconductor Structure With An L-shaped Bottom Plate
App 20160307892 - Cheng; Kangguo ;   et al.
2016-10-20
Semiconductor Structure With An L-shaped Bottom Plate
App 20160300956 - Cheng; Kangguo ;   et al.
2016-10-13
Damage-resistant Fin Structures And Finfet Cmos
App 20160293736 - Cheng; Kangguo ;   et al.
2016-10-06
Finfet Semiconductor Devices With Stressed Channel Regions
App 20160293706 - Cai; Xiuyu ;   et al.
2016-10-06
Fin Trimming In A Double Sit Process
App 20160284558 - Cheng; Kangguo ;   et al.
2016-09-29
Silicon Heterojunction Photovoltaic Device With Wide Band Gap Emitter
App 20160284916 - Hekmatshoar-Tabari; Bahman ;   et al.
2016-09-29
Mis (metal-insulator-semiconductor) Contact Structures For Semiconductor Devices
App 20160276275 - Xie; Ruilong ;   et al.
2016-09-22
Formation Of Finfet Junction
App 20160276483 - Chan; Kevin K. ;   et al.
2016-09-22
Double Aspect Ratio Trapping
App 20160268383 - Cheng; Kangguo ;   et al.
2016-09-15
Integrated Strained Fin And Relaxed Fin
App 20160268378 - Hashemi; Pouya ;   et al.
2016-09-15
Double Aspect Ratio Trapping
App 20160268126 - Cheng; Kangguo ;   et al.
2016-09-15
Embedded Source/drain Structure For Tall Finfet And Method Of Formation
App 20160260833 - Basker; Veeraraghavan S. ;   et al.
2016-09-08
Type Iii-v And Type Iv Semiconductor Device Formation
App 20160260641 - Cheng; Kangguo ;   et al.
2016-09-08
Method Of Formation Of Germanium Nanowires On Bulk Substrates
App 20160254350 - CHENG; Kangguo ;   et al.
2016-09-01
Methods Of Modulating Strain In Pfet And Nfet Finfet Semiconductor Devices
App 20160254195 - Jacob; Ajey Poovannummoottil ;   et al.
2016-09-01
Forming A Cmos With Dual Strained Channels
App 20160254196 - Cheng; Kangguo ;   et al.
2016-09-01
III-V FinFET CMOS WITH III-V AND GERMANIUM-CONTAINING CHANNEL CLOSELY SPACED
App 20160254262 - Cheng; Kangguo ;   et al.
2016-09-01
Method Of Co-integration Of Strained Silicon And Strained Germanium In Semiconductor Devices Including Fin Structures
App 20160247806 - Cheng; Kangguo ;   et al.
2016-08-25
Field-effect Transistor With Aggressively Strained Fins
App 20160247921 - Hashemi; Pouya ;   et al.
2016-08-25
Forming Strained Fins Of Different Materials On A Substrate
App 20160247918 - Cheng; Kangguo ;   et al.
2016-08-25
Cmos Structure On Ssoi Wafer
App 20160233240 - Doris; Bruce B. ;   et al.
2016-08-11
Dielectric Isolated Fin With Improved Fin Profile
App 20160233315 - Cheng; Kangguo ;   et al.
2016-08-11
Formation Of Strained Fins In A Finfet Device
App 20160233245 - Hashemi; Pouya ;   et al.
2016-08-11
Dual Isolation On Ssoi Wafer
App 20160233243 - Doris; Bruce B. ;   et al.
2016-08-11
Method Of Preventing Epitaxy Creeping Under The Spacer
App 20160233337 - Basker; Veeraraghavan S. ;   et al.
2016-08-11
Dual Isolation On Ssoi Wafer
App 20160233241 - Doris; Bruce B. ;   et al.
2016-08-11
Cmos Structure On Ssoi Wafer
App 20160233242 - Doris; Bruce B. ;   et al.
2016-08-11
Aspect Ratio Trapping And Lattice Engineering For Iii/v Semiconductors
App 20160225861 - Cheng; Kangguo ;   et al.
2016-08-04
Semiconductor Device With Low-k Spacer
App 20160225766 - Cheng; Kangguo ;   et al.
2016-08-04
Replacement Fin Process In Ssoi Wafer
App 20160225789 - Doris; Bruce B. ;   et al.
2016-08-04
Methods Of Forming Fin Isolation Regions On Finfet Semiconductor Devices Using An Oxidation-blocking Layer Of Material And By Performing A Fin-trimming Process
App 20160225677 - Jacob; Ajey Poovannummoottil ;   et al.
2016-08-04
Replacement Fin Process In Ssoi Wafer
App 20160225770 - Doris; Bruce B. ;   et al.
2016-08-04
Methods Of Forming Fin Isolation Regions On Finfet Semiconductor Devices By Implantation Of An Oxidation-retarding Material
App 20160225659 - Jacob; Ajey Poovannummoottil ;   et al.
2016-08-04
Fin Field Effect Transistor Including Asymmetric Raised Active Regions
App 20160218043 - Basker; Veeraraghavan S. ;   et al.
2016-07-28
Finfet With Dielectric Isolated Channel
App 20160211377 - Cheng; Kangguo ;   et al.
2016-07-21
Fabrication Of Iii-v-on-insulator Platforms For Semiconductor Devices
App 20160211170 - Basu; Anirban ;   et al.
2016-07-21
Finfet With Reduced Capacitance
App 20160204132 - Basker; Veeraraghavan S. ;   et al.
2016-07-14
Self-limiting Silicide In Highly Scaled Fin Technology
App 20160204211 - Cheng; Kangguo ;   et al.
2016-07-14
Strain Release In Pfet Regions
App 20160204131 - Cheng; Kangguo ;   et al.
2016-07-14
Method and structure for forming high-K/metal gate extremely thin semiconductor on insulator device
Grant 08617956 -
2013-12-31
Method to reduce ground-plane poisoning of extremely-thin SOI (ETSOI) layer with thin buried oxide
Grant 08618554 -
2013-12-31
Silicon On Insulator Complementary Metal Oxide Semiconductor With An Isolation Formed At Low Temperature
App 20120326230A1 -
2012-12-27
Mosfet With Recessed Channel Film And Abrupt Junctions
App 20120326232A1 -
2012-12-27
Raised Source/Drain Field Effect Transistor
App 20120329232A1 -
2012-12-27

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed