loadpatents
name:-0.13882303237915
name:-0.24757099151611
name:-0.15732479095459
Bi; Zhenxing Patent Filings

Bi; Zhenxing

Patent Applications and Registrations

Patent applications and USPTO patent grants for Bi; Zhenxing.The latest application filed is for "hybrid-channel nano-sheet fets".

Company Profile
164.172.148
  • Bi; Zhenxing - Dunn Loring VA
  • Bi; Zhenxing - Niskayuna NY
  • Bi; Zhenxing - Schenectady NY
  • Bi; Zhenxing - Schenectady County NY
  • Bi; Zhenxing - Niskayuma NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
DNA sequencing with stacked nanopores
Grant 11,453,911 - Bi , et al. September 27, 2
2022-09-27
Co-integration of non-volatile memory on gate-all-around field effect transistor
Grant 11,362,093 - Bi , et al. June 14, 2
2022-06-14
Hybrid-channel Nano-sheet Fets
App 20220157666 - Bi; Zhenxing ;   et al.
2022-05-19
Trench contact resistance reduction
Grant 11,335,773 - Bi , et al. May 17, 2
2022-05-17
Approach to bottom dielectric isolation for vertical transport fin field effect transistors
Grant 11,302,797 - Bi , et al. April 12, 2
2022-04-12
Language learning and speech enhancement through natural language processing
Grant 11,302,205 - Amin , et al. April 12, 2
2022-04-12
Hybrid-channel nano-sheet FETS
Grant 11,276,612 - Bi , et al. March 15, 2
2022-03-15
Field Effect Transistor Devices With Self-aligned Source/drain Contacts And Gate Contacts Positioned Over Active Transistors
App 20220051942 - Cheng; Kangguo ;   et al.
2022-02-17
Vertical transistors with multiple gate lengths
Grant 11,251,267 - Bi , et al. February 15, 2
2022-02-15
Internet Of Things (iot) Real-time Response To Defined Symptoms
App 20220032000 - Amin; Mahmoud ;   et al.
2022-02-03
Behavior-based interactive educational sessions
Grant 11,210,968 - Clevenger , et al. December 28, 2
2021-12-28
Field effect transistor devices with self-aligned source/drain contacts and gate contacts positioned over active transistors
Grant 11,195,755 - Cheng , et al. December 7, 2
2021-12-07
Transistor with reduced gate resistance and improved process margin of forming self-aligned contact
Grant 11,195,754 - Cheng , et al. December 7, 2
2021-12-07
Internet of things (IOT) real-time response to defined symptoms
Grant 11,185,658 - Amin , et al. November 30, 2
2021-11-30
Nanosheet transistor having a strained channel with strain-preserving multi-segmented source/drain regions
Grant 11,164,958 - Mochizuki , et al. November 2, 2
2021-11-02
VFET devices with ILD protection
Grant 11,164,959 - Bi , et al. November 2, 2
2021-11-02
Formation of inner spacer on nanosheet MOSFET
Grant 11,158,730 - Bi , et al. October 26, 2
2021-10-26
Forming a fin cut in a hardmask
Grant 11,145,508 - Bi , et al. October 12, 2
2021-10-12
Isolation Structure For Stacked Vertical Transistors
App 20210305364 - Li; Juntao ;   et al.
2021-09-30
Extreme ultraviolet (EUV) mask stack processing
Grant 11,131,919 - Xu , et al. September 28, 2
2021-09-28
Source And Drain Epitaxy And Isolation For Gate Structures
App 20210288184 - Cheng; Kangguo ;   et al.
2021-09-16
Vertically stacked nanosheet CMOS transistor
Grant 11,121,044 - Cheng , et al. September 14, 2
2021-09-14
Stacked Nanosheet Complementary Metal Oxide Semiconductor Field Effect Transistor Devices
App 20210249412 - Bi; Zhenxing ;   et al.
2021-08-12
Isolation structure for stacked vertical transistors
Grant 11,081,546 - Li , et al. August 3, 2
2021-08-03
Nanosheet Transistor Having A Strained Channel With Strain-preserving Multi-segmented Source/drain Regions
App 20210234020 - Mochizuki; Shogo ;   et al.
2021-07-29
Integrated device with vertical field-effect transistors and hybrid channels
Grant 11,075,200 - Bi , et al. July 27, 2
2021-07-27
Source and drain EPI protective spacer during single diffusion break formation
Grant 11,056,399 - Yao , et al. July 6, 2
2021-07-06
Stacked nanosheet complementary metal oxide semiconductor field effect transistor devices
Grant 11,043,493 - Bi , et al. June 22, 2
2021-06-22
Photoresist bridging defect removal by reverse tone weak developer
Grant 11,022,890 - Bi , et al. June 1, 2
2021-06-01
Photoresist bridging defect removal by reverse tone weak developer
Grant 11,022,891 - Bi , et al. June 1, 2
2021-06-01
Method and structure for forming vertical transistors with shared gates and separate gates
Grant 11,024,547 - Bi , et al. June 1, 2
2021-06-01
Nanosheet FET bottom isolation
Grant 11,024,711 - Bao , et al. June 1, 2
2021-06-01
Nanosheet Transistor Bottom Isolation
App 20210151558 - Bi; Zhenxing ;   et al.
2021-05-20
Vertical silicon/silicon-germanium transistors with multiple threshold voltages
Grant 11,011,432 - Bi , et al. May 18, 2
2021-05-18
Closely packed vertical transistors with reduced contact resistance
Grant 11,011,622 - Bi , et al. May 18, 2
2021-05-18
Vertical transistor having reduced edge fin variation
Grant 11,004,751 - Cheng , et al. May 11, 2
2021-05-11
Transistor with improved self-aligned contact
Grant 10,998,229 - Cheng , et al. May 4, 2
2021-05-04
Tunable on-chip nanosheet resistor
Grant 10,985,236 - Bi , et al. April 20, 2
2021-04-20
Source and drain epitaxy and isolation for gate structures
Grant 10,985,279 - Cheng , et al. April 20, 2
2021-04-20
Language Learning And Speech Enhancement Through Natural Language Processing
App 20210110727 - Amin; Mahmoud ;   et al.
2021-04-15
Techniques for vertical FET gate length control
Grant 10,978,576 - Liu , et al. April 13, 2
2021-04-13
Self-aligned fin recesses in nanosheet field effect transistors
Grant 10,957,601 - Bi , et al. March 23, 2
2021-03-23
Integrating extra gate VFET with single gate VFET
Grant 10,957,599 - Bi , et al. March 23, 2
2021-03-23
Field-effect transistor having dual channels
Grant 10,937,703 - Bi , et al. March 2, 2
2021-03-02
Dense vertical field effect transistor structure
Grant 10,937,792 - Xu , et al. March 2, 2
2021-03-02
Nanosheet transistor bottom isolation
Grant 10,937,860 - Bi , et al. March 2, 2
2021-03-02
Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
Grant 10,930,756 - Bi , et al. February 23, 2
2021-02-23
Nanosheet FET bottom isolation
Grant 10,930,734 - Bao , et al. February 23, 2
2021-02-23
Language learning and speech enhancement through natural language processing
Grant 10,916,154 - Amin , et al. February 9, 2
2021-02-09
Co-integration Of Non-volatile Memory On Gate-all-around Field Effect Transistor
App 20210028175 - Bi; Zhenxing ;   et al.
2021-01-28
Silicon residue removal in nanosheet transistors
Grant 10,896,816 - Bi , et al. January 19, 2
2021-01-19
Source/drain extension regions and air spacers for nanosheet field-effect transistor structures
Grant 10,892,328 - Song , et al. January 12, 2
2021-01-12
Forming nanoscale pores in a semiconductor structure utilizing nanotubes as a sacrificial template
Grant 10,890,560 - Li , et al. January 12, 2
2021-01-12
Forming FinFET with reduced variability
Grant 10,886,367 - Cheng , et al. January 5, 2
2021-01-05
Approach to bottom dielectric isolation for vertical transport fin field effect transistors
Grant 10,840,354 - Bi , et al. November 17, 2
2020-11-17
Horizontal-trench capacitor
Grant 10,833,146 - Xu , et al. November 10, 2
2020-11-10
Gate-all-around field-effect transistor devices having source/drain extension contacts to channel layers for reduced parasitic resistance
Grant 10,832,907 - Cheng , et al. November 10, 2
2020-11-10
Isolation Structure For Stacked Vertical Transistors
App 20200335581 - Li; Juntao ;   et al.
2020-10-22
Source And Drain Epi Protective Spacer During Single Diffusion Break Formation
App 20200328121 - Yao; Yao ;   et al.
2020-10-15
Field-effect Transistor Having Dual Channels
App 20200328211 - BI; Zhenxing ;   et al.
2020-10-15
Co-integration of non-volatile memory on gate-all-around field effect transistor
Grant 10,804,274 - Bi , et al. October 13, 2
2020-10-13
Horizontal-Trench Capacitor
App 20200312951 - Xu; Zheng ;   et al.
2020-10-01
Gate-all-around transistor based non-volatile memory devices
Grant 10,784,380 - Xu , et al. Sept
2020-09-22
Source And Drain Epitaxy And Isolation For Gate Structures
App 20200295198 - Cheng; Kangguo ;   et al.
2020-09-17
Nanosheet Transistor Bottom Isolation
App 20200295130 - Bi; Zhenxing ;   et al.
2020-09-17
Transistor Having Wrap-around Source/drain Contacts
App 20200287039 - Bi; Zhenxing ;   et al.
2020-09-10
Source/drain Extension Regions And Air Spacers For Nanosheet Field-effect Transistor Structures
App 20200286992 - Song; Yi ;   et al.
2020-09-10
Cyclic selective deposition for tight pitch patterning
Grant 10,763,118 - Cheng , et al. Sep
2020-09-01
Co-integration Of Non-volatile Memory On Gate-all-around Field Effect Transistor
App 20200273861 - Bi; Zhenxing ;   et al.
2020-08-27
Vertical Transistor Having Reduced Edge Fin Variation
App 20200273756 - Cheng; Kangguo ;   et al.
2020-08-27
Gate-all-around Field-effect Transistor Devices Having Source/drain Extension Contacts To Channel Layers For Reduced Parasitic R
App 20200266060 - Cheng; Kangguo ;   et al.
2020-08-20
Integration scheme for non-volatile memory on gate-all-around structure
Grant 10,749,040 - Kong , et al. A
2020-08-18
Area selective cyclic deposition for VFET top spacer
Grant 10,749,011 - Bi , et al. A
2020-08-18
Field Effect Transistor Devices With Self-aligned Source/drain Contacts And Gate Contacts Positioned Over Active Transistors
App 20200258779 - A1
2020-08-13
Vertically stacked nanosheet CMOS transistor
Grant 10,741,456 - Cheng , et al. A
2020-08-11
Highly selective dry etch process for vertical FET STI recess
Grant 10,734,245 - Bi , et al.
2020-08-04
Method and structure to fabricate a nanoporous membrane
Grant 10,734,281 - Bi , et al.
2020-08-04
Long-channel fin field effect transistors
Grant 10,727,352 - Bi , et al.
2020-07-28
Dna Sequencing With Stacked Nanopores
App 20200232025 - Bi; Zhenxing ;   et al.
2020-07-23
Forming Finfet With Reduced Variability
App 20200235204 - Cheng; Kangguo ;   et al.
2020-07-23
Producing strained nanosheet field effect transistors using a phase change material
Grant 10,714,569 - Kong , et al.
2020-07-14
Field effect transistor devices with self-aligned source/drain contacts and gate contacts positioned over active transistors
Grant 10,707,127 - Cheng , et al.
2020-07-07
Approach To Bottom Dielectric Isolation For Vertical Transport Fin Field Effect Transistors
App 20200212202 - Bi; Zhenxing ;   et al.
2020-07-02
Integrated device with vertical field-effect transistors and hybrid channels
Grant 10,679,992 - Bi , et al.
2020-06-09
DNA sequencing with stacked nanopores
Grant 10,669,579 - Bi , et al.
2020-06-02
Tunable On-chip Nanosheet Resistor
App 20200168698 - Bi; Zhenxing ;   et al.
2020-05-28
Integrated Device With Vertical Field-effect Transistors And Hybrid Channels
App 20200161302 - BI; ZHENXING ;   et al.
2020-05-21
Cognitive Computing Device For Predicting An Optimal Strategy In Competitive Circumstances
App 20200160228 - Amin; Mahmoud ;   et al.
2020-05-21
Integrated Device With Vertical Field-effect Transistors And Hybrid Channels
App 20200161303 - BI; ZHENXING ;   et al.
2020-05-21
Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
Grant 10,658,493 - Bi , et al.
2020-05-19
Gate Spacer And Inner Spacer Formation For Nanosheet Transistors Having Relatively Small Space Between Gates
App 20200152764 - Bi; Zhenxing ;   et al.
2020-05-14
Integrating Extra Gate Vfet With Single Gate Vfet
App 20200144124 - BI; ZHENXING ;   et al.
2020-05-07
Field Effect Transistor Devices With Self-aligned Source/drain Contacts And Gate Contacts Positioned Over Active Transistors
App 20200144118 - Cheng; Kangguo ;   et al.
2020-05-07
Nanosheet Fet Bottom Isolation
App 20200135852 - BAO; RUQIANG ;   et al.
2020-04-30
Transistor With Improved Self-aligned Contact
App 20200135561 - Cheng; Kangguo ;   et al.
2020-04-30
Nanosheet Fet Bottom Isolation
App 20200135853 - BAO; RUQIANG ;   et al.
2020-04-30
Area Selective Cyclic Deposition For Vfet Top Spacer
App 20200135893 - BI; ZHENXING ;   et al.
2020-04-30
Integration Scheme For Non-volatile Memory On Gate-all-around Structure
App 20200135938 - Kong; Dexin ;   et al.
2020-04-30
Integration Scheme For Non-volatile Memory On Gate-all-around Structure
App 20200135937 - Kong; Dexin ;   et al.
2020-04-30
Highly Selective Dry Etch Process for Vertical FET STI Recess
App 20200126805 - Bi; Zhenxing ;   et al.
2020-04-23
Resistor fins
Grant 10,629,589 - Bi , et al.
2020-04-21
Low undercut N-P work function metal patterning in nanosheet replacement metal gate process
Grant 10,629,495 - Seshadri , et al.
2020-04-21
Approach to bottom dielectric isolation for vertical transport fin field effect transistors
Grant 10,629,702 - Bi , et al.
2020-04-21
Vertically Stacked Nanosheet Cmos Transistor
App 20200118891 - Cheng; Kangguo ;   et al.
2020-04-16
Vertically Stacked Nanosheet Cmos Transistor
App 20200118892 - Cheng; Kangguo ;   et al.
2020-04-16
Self-aligned Fin Recesses In Nanosheet Field Effect Transistors
App 20200118881 - Bi; Zhenxing ;   et al.
2020-04-16
Stacked Nanosheet Complementary Metal Oxide Semiconductor Field Effect Transistor Devices
App 20200119015 - Bi; Zhenxing ;   et al.
2020-04-16
Transistor With Reduced Gate Resistance And Improved Process Margin Of Forming Self-aligned Contact
App 20200111706 - Cheng; Kangguo ;   et al.
2020-04-09
Integration scheme for non-volatile memory on gate-all-around structure
Grant 10,615,288 - Kong , et al.
2020-04-07
Vertical Silicon/silicon-germanium Transistors With Multiple Threshold Voltages
App 20200105614 - Bi; Zhenxing ;   et al.
2020-04-02
FinFET transistor gate and epitaxy formation
Grant 10,608,121 - Bao , et al.
2020-03-31
Vertical Transistors With Multiple Gate Lengths
App 20200098863 - Bi; Zhenxing ;   et al.
2020-03-26
Hybrid-channel Nano-sheet Fets
App 20200098642 - Bi; Zhenxing ;   et al.
2020-03-26
Behavior-based Interactive Educational Sessions
App 20200090542 - Clevenger; Lawrence A. ;   et al.
2020-03-19
Method And Structure For Forming Vertical Transistors With Shared Gates And Separate Gates
App 20200083111 - Bi; Zhenxing ;   et al.
2020-03-12
Gate-all-around Transistor Based Non-volatile Memory Devices
App 20200083382 - Xu; Zheng ;   et al.
2020-03-12
Method and structure for forming vertical transistors with shared gates and separate gates
Grant 10,586,737 - Bi , et al.
2020-03-10
Tunable on-chip nanosheet resistor
Grant 10,586,843 - Bi , et al.
2020-03-10
Gate-all-around transistor based non-volatile memory devices
Grant 10,586,875 - Xu , et al.
2020-03-10
Nanosheet FET device with epitaxial nucleation
Grant 10,586,856 - Loubet , et al.
2020-03-10
Internet Of Things (iot) Real-time Response To Defined Symptoms
App 20200066127 - Amin; Mahmoud ;   et al.
2020-02-27
Closely Packed Vertical Transistors With Reduced Contact Resistance
App 20200066882 - BI; ZHENXING ;   et al.
2020-02-27
Fabrication of fin field effect transistor complementary metal-oxide-semiconductor devices with uniform hybrid channels
Grant 10,573,566 - Bi , et al. Feb
2020-02-25
Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
Grant 10,566,445 - Bi , et al. Feb
2020-02-18
Vfet Devices With Ild Protection
App 20200051806 - Bi; Zhenxing ;   et al.
2020-02-13
Techniques for Vertical FET Gate Length Control
App 20200044055 - Liu; Chi-Chun ;   et al.
2020-02-06
Stacked nanowires
Grant 10,553,445 - Bi , et al. Fe
2020-02-04
Vertical transistors with multiple gate lengths
Grant 10,553,682 - Bi , et al. Fe
2020-02-04
Dual channel FinFETs having uniform fin heights
Grant 10,546,788 - Bi , et al. Ja
2020-01-28
Vertical silicon/silicon-germanium transistors with multiple threshold voltages
Grant 10,541,176 - Bi , et al. Ja
2020-01-21
Method for making VFET devices with ILD protection
Grant 10,541,128 - Bi , et al. Ja
2020-01-21
Cyclic Selective Deposition for Tight Pitch Patterning
App 20200020540 - Cheng; Kangguo ;   et al.
2020-01-16
Closely packed vertical transistors with reduced contact resistance
Grant 10,535,755 - Bi , et al. Ja
2020-01-14
Gate-all-around Transistor Based Non-volatile Memory Devices
App 20200013896 - Xu; Zheng ;   et al.
2020-01-09
Forming On-chip Metal-insulator-semiconductor Capacitor
App 20200013773 - Bi; Zhenxing ;   et al.
2020-01-09
Transistor With Asymmetric Source/drain Overlap
App 20190393344 - Cheng; Kangguo ;   et al.
2019-12-26
Extreme Ultraviolet (euv) Mask Stack Processing
App 20190391481 - Xu; Yongan ;   et al.
2019-12-26
Transistor With Asymmetric Source/drain Overlap
App 20190393345 - Cheng; Kangguo ;   et al.
2019-12-26
Transistor with asymmetric spacers
Grant 10,516,028 - Bi , et al. Dec
2019-12-24
Nanosheet Fet Device With Epitaxial Nucleation
App 20190386113 - Loubet; Nicolas ;   et al.
2019-12-19
Transistor with asymmetric source/drain overlap
Grant 10,510,885 - Cheng , et al. Dec
2019-12-17
Hybrid-channel nano-sheet FETs
Grant 10,504,793 - Bi , et al. Dec
2019-12-10
Optimized Individual Sleep Patterns
App 20190357843 - AMIN; MAHMOUD ;   et al.
2019-11-28
Forming on-chip metal-insulator-semiconductor capacitor
Grant 10,490,546 - Bi , et al. Nov
2019-11-26
Forming Nanoscale Pores In A Semiconductor Structure Utilizing Nanotubes As A Sacrificial Template
App 20190353615 - Li; Juntao ;   et al.
2019-11-21
Tunnel transistor
Grant 10,483,382 - Cheng , et al. Nov
2019-11-19
Techniques for vertical FET gate length control
Grant 10,475,905 - Liu , et al. Nov
2019-11-12
Gate Spacer And Inner Spacer Formation For Nanosheet Transistors Having Relatively Small Space Between Gates
App 20190341465 - Bi; Zhenxing ;   et al.
2019-11-07
Transistor With Asymmetric Spacers
App 20190334004 - Bi; Zhenxing ;   et al.
2019-10-31
Bottom isolation for nanosheet transistors on bulk substrate
Grant 10,461,154 - Song , et al. Oc
2019-10-29
Formation Of Inserted-oxide Fin Field-effect Transistors
App 20190319119 - Li; Juntao ;   et al.
2019-10-17
Approach to minimization of strain loss in strained fin field effect transistors
Grant 10,446,647 - Bi , et al. Oc
2019-10-15
Dual channel FinFETs having uniform fin heights
Grant 10,438,855 - Bi , et al. O
2019-10-08
Gate Spacer And Inner Spacer Formation For Nanosheet Transistors Having Relatively Small Space Between Gates
App 20190305106 - Bi; Zhenxing ;   et al.
2019-10-03
Optimized individual sleep patterns
Grant 10,426,400 - Amin , et al. October 1, 2
2019-10-01
Trench Contact Resistance Reduction
App 20190296109 - Bi; Zhenxing ;   et al.
2019-09-26
Optimized individual sleep patterns
Grant 10,420,502 - Amin , et al. Sept
2019-09-24
Dense Vertical Field Effect Transistor Structure
App 20190287978 - Xu; Peng ;   et al.
2019-09-19
Trench contact resistance reduction
Grant 10,403,716 - Bi , et al. Sep
2019-09-03
Vertical FET transistor with reduced source/drain contact resistance
Grant 10,395,988 - Cheng , et al. A
2019-08-27
Fin type field effect transistors with different pitches and substantially uniform fin reveal
Grant 10,388,571 - Bi , et al. A
2019-08-20
Shallow trench isolation recess process flow for vertical field effect transistor fabrication
Grant 10,388,651 - Bi , et al. A
2019-08-20
Vertical transport fin field effect transistors on a substrate with varying effective gate lengths
Grant 10,381,476 - Bi , et al. A
2019-08-13
Dense vertical field effect transistor structure
Grant 10,381,355 - Xu , et al. A
2019-08-13
Undercut control in isotropic wet etch processes
Grant 10,374,034 - Liu , et al.
2019-08-06
Long-channel Fin Field Effect Transistors
App 20190237580 - Bi; Zhenxing ;   et al.
2019-08-01
Techniques for Vertical FET Gate Length Control
App 20190237562 - Liu; Chi-Chun ;   et al.
2019-08-01
Hybridization fin reveal for uniform fin reveal depth across different fin pitches
Grant 10,366,928 - Bi , et al. July 30, 2
2019-07-30
Vertical transport fin field effect transistors on a substrate with varying effective gate lengths
Grant 10,361,303 - Bi , et al.
2019-07-23
Low Undercut N-p Work Function Metal Patterning In Nanosheet Replacement Metal Gate Process
App 20190214311 - Seshadri; Indira ;   et al.
2019-07-11
Dense Vertical Field Effect Transistor Structure
App 20190214392 - Xu; Peng ;   et al.
2019-07-11
Transistor with asymmetric spacers
Grant 10,347,731 - Bi , et al. July 9, 2
2019-07-09
Hybrid-channel nano-sheets FETs
Grant 10,332,802 - Bi , et al.
2019-06-25
Vertical silicon/silicon-germanium transistors with multiple threshold voltages
Grant 10,332,799 - Bi , et al.
2019-06-25
Formation of inner spacer on nanosheet MOSFET
Grant 10,332,986 - Bi , et al.
2019-06-25
Vertical fin resistor devices
Grant 10,332,880 - Bi , et al.
2019-06-25
Semiconductor fin patterning techniques to achieve uniform fin profiles for fin field effect transistors
Grant 10,325,817 - Bi , et al.
2019-06-18
Forming on-chip metal-insulator-semiconductor capacitor with pillars
Grant 10,319,717 - Bi , et al.
2019-06-11
Nanosheet CMOS transistors
Grant 10,319,813 - Bi , et al.
2019-06-11
Vertical transport transistors with equal gate stack thicknesses
Grant 10,312,237 - Bao , et al.
2019-06-04
Transistor With Asymmetric Spacers
App 20190140064 - Bi; Zhenxing ;   et al.
2019-05-09
Forming A Fin Cut In A Hardmask
App 20190139764 - Bi; Zhenxing ;   et al.
2019-05-09
Vertical Transistors With Multiple Gate Lengths
App 20190140053 - Bi; Zhenxing ;   et al.
2019-05-09
Approach to minimization of strain loss in strained fin field effect transistors
Grant 10,283,592 - Bi , et al.
2019-05-07
Low undercut N-P work function metal patterning in nanosheet replacement metal gate process
Grant 10,276,452 - Seshadri , et al.
2019-04-30
Language Learning And Speech Enhancement Through Natural Language Processing
App 20190122574 - Amin; Mahmoud ;   et al.
2019-04-25
Semiconductor Fin Patterning Techniques To Achieve Uniform Fin Profiles For Fin Field Effect Transistors
App 20190115263 - Bi; Zhenxing ;   et al.
2019-04-18
Forming a fin cut in a hardmask
Grant 10,262,861 - Bi , et al.
2019-04-16
Nanosheet CMOS transistors
Grant 10,263,075 - Bi , et al.
2019-04-16
Buffer regions for blocking unwanted diffusion in nanosheet transistors
Grant 10,263,100 - Bi , et al.
2019-04-16
Transistor with asymmetric source/drain overlap
Grant 10,249,755 - Cheng , et al.
2019-04-02
Silicon Residue Removal In Nanosheet Transistors
App 20190096669 - Bi; Zhenxing ;   et al.
2019-03-28
Cyclic etch process to remove dummy gate oxide layer for fin field effect transistor fabrication
Grant 10,242,882 - Bi , et al.
2019-03-26
Tunnel transistor
Grant 10,236,364 - Cheng , et al.
2019-03-19
Transistor having a high germanium percentage fin channel and a gradient source/drain junction doping profile
Grant 10,236,346 - Bi , et al.
2019-03-19
Method and structure for improving vertical transistor
Grant 10,236,290 - Bi , et al.
2019-03-19
Method and structure for minimizing fin reveal variation in FinFET transistor
Grant 10,217,658 - Bi , et al. Feb
2019-02-26
Trench contact resistance reduction
Grant 10,217,707 - Bi , et al. Feb
2019-02-26
Vertical transistors with multiple gate lengths
Grant 10,211,288 - Bi , et al. Feb
2019-02-19
Stacked Nanowires
App 20190051535 - Bi; Zhenxing ;   et al.
2019-02-14
Fabrication Of Fin Field Effect Transistor Complementary Metal-oxide-semiconductor Devices With Uniform Hybrid Channels
App 20190035695 - Bi; Zhenxing ;   et al.
2019-01-31
Stacked nanowires
Grant 10,170,331 - Bi , et al. J
2019-01-01
FinFET transistor gate and epitaxy formation
Grant 10,170,640 - Bao , et al. J
2019-01-01
Optimized Individual Sleep Patterns
App 20180368757 - AMIN; Mahmoud ;   et al.
2018-12-27
Optimized Individual Sleep Patterns
App 20180368756 - AMIN; MAHMOUD ;   et al.
2018-12-27
Hybridization fin reveal for uniform fin reveal depth across different fin pitches
Grant 10,163,721 - Bi , et al. Dec
2018-12-25
Transistor with improved air spacer
Grant 10,164,007 - Bi , et al. Dec
2018-12-25
Vertical transport transistors with equal gate stack thicknesses
Grant 10,157,923 - Bao , et al. Dec
2018-12-18
Cyclic Etch Process To Remove Dummy Gate Oxide Layer For Fin Field Effect Transistor Fabrication
App 20180358232 - Bi; Zhenxing ;   et al.
2018-12-13
Vertical Transport Transistors With Equal Gate Stack Thicknesses
App 20180350811 - Bao; Ruqiang ;   et al.
2018-12-06
Fabrication of fin field effect transistor complementary metal-oxide-semiconductor devices with uniform hybrid channels
Grant 10,147,651 - Bi , et al. De
2018-12-04
FinFET with uniform shallow trench isolation recess
Grant 10,141,313 - Bi , et al. Nov
2018-11-27
Transistor with asymmetric spacers
Grant 10,134,859 - Bi , et al. November 20, 2
2018-11-20
Fabrication Of Fin Field Effect Transistor Complementary Metal-oxide-semiconductor Devices With Uniform Hybrid Channels
App 20180331104 - Bi; Zhenxing ;   et al.
2018-11-15
Asymmetrical vertical transistor
Grant 10,128,235 - Bi , et al. November 13, 2
2018-11-13
Stacked nanowires
Grant 10,128,122 - Bi , et al. November 13, 2
2018-11-13
Closely Packed Vertical Transistors With Reduced Contact Resistance
App 20180323283 - Bi; Zhenxing ;   et al.
2018-11-08
Vertical Transport Transistors With Equal Gate Stack Thicknesses
App 20180315756 - Bao; Ruqiang ;   et al.
2018-11-01
Method And Structure For Minimizing Fin Reveal Variation In Finfet Transistor
App 20180315642 - Bi; Zhenxing ;   et al.
2018-11-01
Vertical Transport Transistors With Equal Gate Stack Thicknesses
App 20180315755 - Bao; Ruqiang ;   et al.
2018-11-01
Vertical Silicon/silicon-germanium Transistors With Multiple Threshold Voltages
App 20180308764 - Bi; Zhenxing ;   et al.
2018-10-25
Resistor Fins
App 20180308837 - BI; Zhenxing ;   et al.
2018-10-25
Vertical Silicon/silicon-germanium Transistors With Multiple Threshold Voltages
App 20180308763 - Bi; Zhenxing ;   et al.
2018-10-25
Etch-resistant spacer formation on gate structure
Grant 10,109,722 - Xie , et al. October 23, 2
2018-10-23
Method And Structure For Forming Vertical Transistors With Shared Gates And Separate Gates
App 20180301381 - Bi; Zhenxing ;   et al.
2018-10-18
Vertical transport transistors with equal gate stack thicknesses
Grant 10,103,147 - Bao , et al. October 16, 2
2018-10-16
Vertical Transport Fin Field Effect Transistors On A Substrate With Varying Effective Gate Lengths
App 20180294352 - Bi; Zhenxing ;   et al.
2018-10-11
Closely packed vertical transistors with reduced contact resistance
Grant 10,096,695 - Bi , et al. October 9, 2
2018-10-09
Semiconductor fin patterning techniques to achieve uniform fin profiles for fin field effect transistors
Grant 10,096,524 - Bi , et al. October 9, 2
2018-10-09
Vertical Transport Fin Field Effect Transistors On A Substrate With Varying Effective Gate Lengths
App 20180286980 - Bi; Zhenxing ;   et al.
2018-10-04
Nanosheet Cmos Transistors
App 20180277628 - Bi; Zhenxing ;   et al.
2018-09-27
Nanosheet Cmos Transistors
App 20180277630 - Bi; Zhenxing ;   et al.
2018-09-27
Fin Type Field Effect Transistors With Different Pitches And Substantially Uniform Fin Reveal
App 20180269108 - Bi; Zhenxing ;   et al.
2018-09-20
Resistor fins
Grant 10,079,229 - Bi , et al. September 18, 2
2018-09-18
Finfet With Uniform Shallow Trench Isolation Recess
App 20180254273 - Bi; Zhenxing ;   et al.
2018-09-06
Etch-resistant Spacer Formation On Gate Structure
App 20180254331 - Xie; Ruilong ;   et al.
2018-09-06
Formation Of Inner Spacer On Nanosheet Mosfet
App 20180248021 - Bi; Zhenxing ;   et al.
2018-08-30
Dual Channel Finfets Having Uniform Fin Heights
App 20180240713 - Bi; Zhenxing ;   et al.
2018-08-23
Tunable On-chip Nanosheet Resistor
App 20180240858 - Bi; Zhenxing ;   et al.
2018-08-23
Photoresist Bridging Defect Removal By Reverse Tone Weak Developer
App 20180239253 - Bi; Zhenxing ;   et al.
2018-08-23
Dual Channel Finfets Having Uniform Fin Heights
App 20180240714 - Bi; Zhenxing ;   et al.
2018-08-23
Photoresist Bridging Defect Removal By Reverse Tone Weak Developer
App 20180239254 - Bi; Zhenxing ;   et al.
2018-08-23
Approach To Bottom Dielectric Isolation For Vertical Transport Fin Field Effect Transistors
App 20180226491 - Bi; Zhenxing ;   et al.
2018-08-09
Approach To Bottom Dielectric Isolation For Vertical Transport Fin Field Effect Transistors
App 20180226489 - Bi; Zhenxing ;   et al.
2018-08-09
Vertical transport Fin field effect transistors on a substrate with varying effective gate lengths
Grant 10,043,900 - Bi , et al. August 7, 2
2018-08-07
Nanosheet Capacitor
App 20180212017 - Bao; Ruqiang ;   et al.
2018-07-26
Nanosheet capacitor
Grant 10,032,858 - Bi , et al. July 24, 2
2018-07-24
Nanosheet capacitor
Grant 10,032,856 - Bao , et al. July 24, 2
2018-07-24
Fin type field effect transistors with different pitches and substantially uniform fin reveal
Grant 10,020,229 - Bi , et al. July 10, 2
2018-07-10
Method and structure for minimizing fin reveal variation in FinFET transistor
Grant 10,020,221 - Bi , et al. July 10, 2
2018-07-10
Hybrid-channel Nano-sheet Fets
App 20180190545 - Bi; Zhenxing ;   et al.
2018-07-05
Hybrid-channel Nano-sheet Fets
App 20180190544 - Bi; Zhenxing ;   et al.
2018-07-05
Vertical fin resistor devices
Grant 10,002,868 - Bi , et al. June 19, 2
2018-06-19
Method and structure for forming vertical transistors with shared gates and separate gates
Grant 10,002,795 - Bi , et al. June 19, 2
2018-06-19
Polysilicon residue removal in nanosheet MOSFETs
Grant 9,997,352 - Bi , et al. June 12, 2
2018-06-12
Nanosheet capacitor
Grant 9,991,334 - Bi , et al. June 5, 2
2018-06-05
Tunable on-chip nanosheet resistor
Grant 9,991,328 - Bi , et al. June 5, 2
2018-06-05
Shallow trench isolation recess process flow for vertical field effect transistor fabrication
Grant 9,985,021 - Bi , et al. May 29, 2
2018-05-29
Vertical silicon/silicon-germanium transistors with multiple threshold voltages
Grant 9,984,937 - Bi , et al. May 29, 2
2018-05-29
Hybrid-channel nano-sheet FETs
Grant 9,972,542 - Bi , et al. May 15, 2
2018-05-15
Finfet Transistor Gate And Epitaxy Formation
App 20180122952 - Bao; Ruqiang ;   et al.
2018-05-03
Forming On-chip Metal-insulator-semiconductor Capacitor
App 20180122796 - Bi; Zhenxing ;   et al.
2018-05-03
Forming On-chip Metal-insulator-semiconductor Capacitor
App 20180122797 - Bi; Zhenxing ;   et al.
2018-05-03
Finfet Transistor Gate And Epitaxy Formation
App 20180114863 - Bao; Ruqiang ;   et al.
2018-04-26
Tuning LC tank circuits
Grant 9,954,487 - Bi , et al. April 24, 2
2018-04-24
Approach To Minimization Of Strain Loss In Strained Fin Field Effect Transistors
App 20180108771 - Bi; Zhenxing ;   et al.
2018-04-19
Approach To Minimization Of Strain Loss In Strained Fin Field Effect Transistors
App 20180108735 - Bi; Zhenxing ;   et al.
2018-04-19
Tuning Lc Tank Circuits
App 20180102738 - Bi; Zhenxing ;   et al.
2018-04-12
Transistor with improved air spacer
Grant 9,941,352 - Bi , et al. April 10, 2
2018-04-10
Method and structure for minimizing fin reveal variation in FinFET transistor
Grant 9,941,150 - Bi , et al. April 10, 2
2018-04-10
Transistor With Improved Air Spacer
App 20180096990 - BI; Zhenxing ;   et al.
2018-04-05
Transistor With Improved Air Spacer
App 20180097059 - BI; Zhenxing ;   et al.
2018-04-05
Shallow Trench Isolation Recess Process Flow For Vertical Field Effect Transistor Fabrication
App 20180096992 - Bi; Zhenxing ;   et al.
2018-04-05
Vertical Fin Resistor Devices
App 20180096994 - Bi; Zhenxing ;   et al.
2018-04-05
Shallow Trench Isolation Recess Process Flow For Vertical Field Effect Transistor Fabrication
App 20180096989 - Bi; Zhenxing ;   et al.
2018-04-05
Method And Structure For Improving Vertical Transistor
App 20180097000 - BI; Zhenxing ;   et al.
2018-04-05
Method And Structure For Improving Vertical Transistor
App 20180096996 - BI; Zhenxing ;   et al.
2018-04-05
Vertical Fin Resistor Devices
App 20180097001 - Bi; Zhenxing ;   et al.
2018-04-05
Method and structure for improving vertical transistor
Grant 9,935,102 - Bi , et al. April 3, 2
2018-04-03
Hybridization fin reveal for uniform fin reveal depth across different fin pitches
Grant 9,935,015 - Bi , et al. April 3, 2
2018-04-03
Asymmetrical Vertical Transistor
App 20180090489 - Bi; Zhenxing ;   et al.
2018-03-29
Hybridization Fin Reveal For Uniform Fin Reveal Depth Across Different Fin Pitches
App 20180090385 - Bi; Zhenxing ;   et al.
2018-03-29
Forming A Fin Cut In A Hardmask
App 20180090318 - Bi; Zhenxing ;   et al.
2018-03-29
Hybridization Fin Reveal For Uniform Fin Reveal Depth Across Different Fin Pitches
App 20180090384 - Bi; Zhenxing ;   et al.
2018-03-29
Hybridization Fin Reveal For Uniform Fin Reveal Depth Across Different Fin Pitches
App 20180090367 - Bi; Zhenxing ;   et al.
2018-03-29
Polysilicon Residue Removal In Nanosheet Mosfets
App 20180090315 - Bi; Zhenxing ;   et al.
2018-03-29
Nanosheet Capacitor
App 20180083092 - Bi; Zhenxing ;   et al.
2018-03-22
Trench Contact Resistance Reduction
App 20180082953 - BI; ZHENXING ;   et al.
2018-03-22
Trench Contact Resistance Reduction
App 20180082950 - Bi; Zhenxing ;   et al.
2018-03-22
Nanosheet Capacitor
App 20180083093 - Bi; Zhenxing ;   et al.
2018-03-22
FinFET transistor gate and epitaxy formation
Grant 9,917,210 - Bao , et al. March 13, 2
2018-03-13
Closely Packed Vertical Transistors With Reduced Contact Resistance
App 20180061967 - Bi; Zhenxing ;   et al.
2018-03-01
Tunable On-chip Nanosheet Resistor
App 20180061929 - Bi; Zhenxing ;   et al.
2018-03-01
Method And Structure To Fabricate A Nanoporous Membrane
App 20180053716 - Bi; Zhenxing ;   et al.
2018-02-22
Ild Protection In Vfet Devices
App 20180053844 - Bi; Zhenxing ;   et al.
2018-02-22
Formation Of Inner Spacer On Nanosheet Mosfet
App 20180053837 - Bi; Zhenxing ;   et al.
2018-02-22
Forming on-chip metal-insulator-semiconductor capacitor
Grant 9,899,372 - Bi , et al. February 20, 2
2018-02-20
Dna Sequencing With Stacked Nanopores
App 20180016629 - Bi; Zhenxing ;   et al.
2018-01-18
FinFET with uniform shallow trench isolation recess
Grant 9,865,598 - Bi , et al. January 9, 2
2018-01-09
Sidewall image transfer structures
Grant 9,859,174 - Bi , et al. January 2, 2
2018-01-02
Sidewall Image Transfer Structures
App 20170372973 - Bi; Zhenxing ;   et al.
2017-12-28
Asymmetrical vertical transistor
Grant 9,837,403 - Bi , et al. December 5, 2
2017-12-05
Forming strained and unstrained features on a substrate
Grant 9,837,408 - Bi , et al. December 5, 2
2017-12-05
Shallow trench isolation recess process flow for vertical field effect transistor fabrication
Grant 9,824,934 - Bi , et al. November 21, 2
2017-11-21
Approach to minimization of strain loss in strained fin field effect transistors
Grant 9,818,875 - Bi , et al. November 14, 2
2017-11-14
Vertical transport FET devices with uniform bottom spacer
Grant 9,799,749 - Bi , et al. October 24, 2
2017-10-24
Stacked Nanowires
App 20170301554 - Bi; Zhenxing ;   et al.
2017-10-19
Stacked Nanowires
App 20170301555 - Bi; Zhenxing ;   et al.
2017-10-19
Method and structure to fabricate a nanoporous membrane
Grant 9,768,104 - Bi , et al. September 19, 2
2017-09-19
Fin Type Field Effect Transistors With Different Pitches And Substantially Uniform Fin Reveal
App 20170263503 - Bi; Zhenxing ;   et al.
2017-09-14
Forming a fin cut in a hardmask
Grant 9,761,450 - Bi , et al. September 12, 2
2017-09-12
Hybridization fin reveal for uniform fin reveal depth across different fin pitches
Grant 9,754,798 - Bi , et al. September 5, 2
2017-09-05
Pillar formation for heat dissipation and isolation in vertical field effect transistors
Grant 9,748,381 - Bi , et al. August 29, 2
2017-08-29
Closely packed vertical transistors with reduced contact resistance
Grant 9,735,253 - Bi , et al. August 15, 2
2017-08-15
Stacked nanowires
Grant 9,716,142 - Bi , et al. July 25, 2
2017-07-25
Fin type field effect transistors with different pitches and substantially uniform fin reveal
Grant 9,691,765 - Bi , et al. June 27, 2
2017-06-27
Nanosheet capacitor
Grant 9,685,499 - Bi , et al. June 20, 2
2017-06-20
Polysilicon residue removal in nanosheet MOSFETs
Grant 9,679,780 - Bi , et al. June 13, 2
2017-06-13
Vertical FET symmetric and asymmetric source/drain formation
Grant 9,647,120 - Bi , et al. May 9, 2
2017-05-09
Stacked Nanowires
App 20170104062 - Bi; Zhenxing ;   et al.
2017-04-13

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed