loadpatents
name:-1.0407888889313
name:-0.14311504364014
name:-0.14214205741882
Balasubramanian; Ganesh Patent Filings

Balasubramanian; Ganesh

Patent Applications and Registrations

Patent applications and USPTO patent grants for Balasubramanian; Ganesh.The latest application filed is for "systems and methods for improved carbon adhesion".

Company Profile
48.76.119
  • Balasubramanian; Ganesh - Chennai IN
  • Balasubramanian; Ganesh - Fremont CA
  • Balasubramanian; Ganesh - Sunnyvale CA
  • Balasubramanian; Ganesh - Rosharon TX
  • BALASUBRAMANIAN; Ganesh - Lexington MA
  • Balasubramanian; Ganesh - Singapore SG
  • Balasubramanian; Ganesh - Missouri City TX
  • Balasubramanian, Ganesh - Cincinnati OH
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Multi-encrypted message response manager
Grant 11,451,389 - Krishnamoorthy , et al. September 20, 2
2022-09-20
Systems And Methods For Improved Carbon Adhesion
App 20220293416 - Rathi; Sudha S. ;   et al.
2022-09-15
Wafer de-chucking detection and arcing prevention
Grant 11,437,262 - Balasubramanian , et al. September 6, 2
2022-09-06
Cfx Layer To Protect Aluminum Surface From Over-oxidation
App 20220178017 - SINGH; Anup Kumar ;   et al.
2022-06-09
Profile Shaping For Control Gate Recesses
App 20220123114 - Singhal; Akhil ;   et al.
2022-04-21
Systems And Methods Of Seasoning Electrostatic Chucks With Dielectric Seasoning Films
App 20220122872 - Singhal; Akhil ;   et al.
2022-04-21
Chamber Configurations And Processes For Particle Control
App 20220122823 - Wu; Fei ;   et al.
2022-04-21
Method Of Using Dual Frequency Rf Power In A Process Chamber
App 20220102141 - SINGH; Anup Kumar ;   et al.
2022-03-31
Plasma processing using multiple radio frequency power feeds for improved uniformity
Grant 11,276,562 - Ye , et al. March 15, 2
2022-03-15
Showerhead Design To Control Stray Deposition
App 20220064797 - DHANAKSHIRUR; Akshay ;   et al.
2022-03-03
Fluoride Coating To Improve Chamber Performance
App 20220037126 - Sun; Jennifer Y. ;   et al.
2022-02-03
Switchable Delivery For Semiconductor Processing System
App 20220020570 - Addepalli; Sai Susmita ;   et al.
2022-01-20
Isolation Valves
App 20220003074 - Balasubramanian; Ganesh ;   et al.
2022-01-06
Cognitive Multi-encrypted Mail Platform
App 20210409380 - Krishnamoorthy; MadhuSudhanan ;   et al.
2021-12-30
Multi-encrypted Message Response Manager
App 20210409198 - Krishnamoorthy; MadhuSudhanan ;   et al.
2021-12-30
Remote Capacitively Coupled Plasma Source with Improved Ion Blocker
App 20210351020 - Shah; Vivek B. ;   et al.
2021-11-11
Method of forming film stacks with reduced defects
Grant 11,145,504 - Jiang , et al. October 12, 2
2021-10-12
Shadow ring for modifying wafer edge and bevel deposition
Grant 11,136,665 - Bois , et al. October 5, 2
2021-10-05
Dual temperature heater
Grant 11,133,210 - Du Bois , et al. September 28, 2
2021-09-28
Server for handling multi-encrypted messages
Grant 11,122,021 - Krishnamoorthy , et al. September 14, 2
2021-09-14
Apparatus and methods for removing contaminant particles in a plasma process
Grant 11,120,976 - Kumar , et al. September 14, 2
2021-09-14
Remote capacitively coupled plasma source with improved ion blocker
Grant 11,069,514 - Shah , et al. July 20, 2
2021-07-20
Loadlock integrated bevel etcher system
Grant 11,031,262 - Basu , et al. June 8, 2
2021-06-08
Virtual sensor for spatially resolved wafer temperature control
Grant 11,024,522 - Mungekar , et al. June 1, 2
2021-06-01
Gas Delivery Systems And Methods
App 20210143029 - Kedlaya; Diwakar ;   et al.
2021-05-13
Wafer placement error detection based on measuring a current through an electrostatic chuck and solution for intervention
Grant 11,004,710 - Mungekar , et al. May 11, 2
2021-05-11
Techniques To Improve Adhesion And Defects For Tungsten Carbide Film
App 20210108309 - SHAH; Vivek Bharat ;   et al.
2021-04-15
Methods of minimizing wafer backside damage in semiconductor wafer processing
Grant 10,971,390 - Khaja , et al. April 6, 2
2021-04-06
Chamber Configurations For Controlled Deposition
App 20210047730 - Addepalli; Sai Susmita ;   et al.
2021-02-18
Bottom and side plasma tuning having closed loop control
Grant 10,910,227 - Rocha-Alvarez , et al. February 2, 2
2021-02-02
Methods Of Treatment With Pegfilgrastim And Romiplostim
App 20210015901 - BALASUBRAMANIAN; Ganesh ;   et al.
2021-01-21
Technique to prevent aluminum fluoride build up on the heater
Grant 10,892,143 - Shah , et al. January 12, 2
2021-01-12
Pecvd Process
App 20200399756 - RAJAGOPALAN; Nagarajan ;   et al.
2020-12-24
Wafer Placement Error Detection Based On Measuring A Current Through An Electrostatic Chuck And Solution For Intervention
App 20200388518 - MUNGEKAR; Hemant ;   et al.
2020-12-10
Plasma Density Control On Substrate Edge
App 20200381222 - KUMAR; Bhaskar ;   et al.
2020-12-03
Process Chamber With Reduced Plasma Arc
App 20200365370 - WU; Fei ;   et al.
2020-11-19
Methods Of Reducing Chamber Residues
App 20200362457 - HU; Liangfa ;   et al.
2020-11-19
Plasma Parameters And Skew Characterization By High Speed Imaging
App 20200357668 - BHATIA; Sidharth ;   et al.
2020-11-12
Apparatus And Methods For Removing Contaminant Particles In A Plasma Process
App 20200350146 - KUMAR; Bhaskar ;   et al.
2020-11-05
PECVD process
Grant 10,793,954 - Rajagopalan , et al. October 6, 2
2020-10-06
Plasma density control on substrate edge
Grant 10,790,121 - Kumar , et al. September 29, 2
2020-09-29
Chucking Process And System For Substrate Processing Chambers
App 20200286716 - KUMAR; Bhaskar ;   et al.
2020-09-10
RPS defect reduction by cyclic clean induced RPS cooling
Grant 10,755,903 - Bhatia , et al. A
2020-08-25
Sensor Metrology Data Intergration
App 20200264335 - Bhatia; Sidharth ;   et al.
2020-08-20
Plasma parameters and skew characterization by high speed imaging
Grant 10,748,797 - Bhatia , et al. A
2020-08-18
Loadlock Integrated Bevel Etcher System
App 20200234982 - BASU; Saptarshi ;   et al.
2020-07-23
Method Of Forming Film Stacks With Reduced Defects
App 20200227258 - JIANG; Zhijun ;   et al.
2020-07-16
Apparatus and methods for removing contaminant particles in a plasma process
Grant 10,714,319 - Kumar , et al.
2020-07-14
Plasma Processing Using Multiple Radio Frequency Power Feeds For Improved Uniformity
App 20200203132 - YE; Zheng John ;   et al.
2020-06-25
Aluminum fluoride mitigation by plasma treatment
Grant 10,688,538 - Shah , et al.
2020-06-23
Wafer De-chucking Detection And Arcing Prevention
App 20200194299 - BALASUBRAMANIAN; Ganesh ;   et al.
2020-06-18
Graded Dimple Height Pattern On Heater For Lower Backside Damage And Low Chucking Voltage
App 20200135530 - Shah; Vivek B. ;   et al.
2020-04-30
Loadlock integrated bevel etcher system
Grant 10,636,684 - Basu , et al.
2020-04-28
Processing chamber and method with thermal control
Grant 10,636,630 - Mungekar , et al.
2020-04-28
Gas Distribution Assemblies And Operation Thereof
App 20200098547 - DASH; Priyanka ;   et al.
2020-03-26
System and method for substrate processing chambers
Grant 10,600,624 - Ghosh , et al.
2020-03-24
Plasma processing using multiple radio frequency power feeds for improved uniformity
Grant 10,580,623 - Ye , et al.
2020-03-03
Coating Material For Processing Chambers
App 20200058539 - RATHI; Sudha ;   et al.
2020-02-20
Remote Capacitively Coupled Plasma Source With Improved Ion Blocker
App 20200035467 - Shah; Vivek B. ;   et al.
2020-01-30
Controlling temperature in substrate processing systems
Grant 10,544,508 - Rocha-Alvarez , et al. Ja
2020-01-28
Methods Of Minimizing Wafer Backside Damage In Semiconductor Wafer Processing
App 20190393072 - KHAJA; Abdul Aziz ;   et al.
2019-12-26
Technique To Enable High Temperature Clean For Rapid Processing Of Wafers
App 20190382889 - PARIMI; Venkata Sharat Chandra ;   et al.
2019-12-19
Loadlock Integrated Bevel Etcher System
App 20190371630 - Basu; Saptarshi ;   et al.
2019-12-05
Virtual Sensor For Spatially Resolved Wafer Temperature Control
App 20190362991 - MUNGEKAR; Hemant ;   et al.
2019-11-28
High Temperature Electrostatic Chucking With Dielectric Constant Engineered In-situ Charge Trap Materials
App 20190355609 - KULSHRESHTHA; Prashant ;   et al.
2019-11-21
Dual Temperature Heater
App 20190304825 - Du BOIS; Dale R. ;   et al.
2019-10-03
Loadlock integrated bevel etcher system
Grant 10,403,515 - Basu , et al. Sep
2019-09-03
Method and apparatus of processing wafers with compressive or tensile stress at elevated temperatures in a plasma enhanced chemical vapor deposition system
Grant 10,403,535 - Ye , et al. Sep
2019-09-03
Apparatus And Methods For Removing Contaminant Particles In A Plasma Process
App 20190259585 - KUMAR; Bhaskar ;   et al.
2019-08-22
Apparatus and method for purging gaseous compounds
Grant 10,385,448 - Rocha-Alvarez , et al. A
2019-08-20
Gas flow profile modulated control of overlay in plasma CVD films
Grant 10,373,822 - Kulshreshtha , et al.
2019-08-06
High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
Grant 10,325,800 - Kulshreshtha , et al.
2019-06-18
Dual temperature heater
Grant 10,325,799 - Du Bois , et al.
2019-06-18
Shadow Ring For Modifying Wafer Edge And Bevel Deposition
App 20190153592 - BOIS; Dale Du ;   et al.
2019-05-23
Dual-channel showerhead for formation of film stacks
Grant 10,276,353 - Alayavalli , et al.
2019-04-30
System And Method For Substrate Processing Chambers
App 20190122872 - GHOSH; Kalyanjit ;   et al.
2019-04-25
Bottom And Side Plasma Tuning Having Closed Loop Control
App 20190080916 - ROCHA-ALVAREZ; Juan Carlos ;   et al.
2019-03-14
Shadow ring for modifying wafer edge and bevel deposition
Grant 10,227,695 - Du Bois , et al.
2019-03-12
Processing Chamber And Method With Thermal Control
App 20190066984 - MUNGEKAR; Hemant P. ;   et al.
2019-02-28
Apparatus and method for purging gaseous compounds
Grant 10,161,035 - Rocha-Alvarez , et al. Dec
2018-12-25
Bottom and side plasma tuning having closed loop control
Grant 10,128,118 - Rocha-Alvarez , et al. November 13, 2
2018-11-13
Edge hump reduction faceplate by plasma modulation
Grant 10,100,408 - Ha , et al. October 16, 2
2018-10-16
Gas Phase Particle Reduction In Pecvd Chamber
App 20180294139 - KUMAR; Bhaskar ;   et al.
2018-10-11
Plasma Density Control On Substrate Edge
App 20180294146 - KUMAR; Bhaskar ;   et al.
2018-10-11
Method and system for supplying a cleaning gas into a process chamber
Grant 10,094,486 - Sankarakrishnan , et al. October 9, 2
2018-10-09
Pecvd Process
App 20180258535 - RAJAGOPALAN; Nagarajan ;   et al.
2018-09-13
PECVD process
Grant 10,060,032 - Rajagopalan , et al. August 28, 2
2018-08-28
PECVD apparatus and process
Grant 10,030,306 - Rajagopalan , et al. July 24, 2
2018-07-24
Plasma Parameters And Skew Characterization By High Speed Imaging
App 20180204750 - BHATIA; Sidharth ;   et al.
2018-07-19
Technique To Prevent Aluminum Fluoride Build Up On The Heater
App 20180114679 - SHAH; Vivek Bharat ;   et al.
2018-04-26
Gas Flow Profile Modulated Control Of Overlay In Plasma Cvd Films
App 20180096843 - Kulshreshtha; Prashant Kumar ;   et al.
2018-04-05
Wafer rotation in a semiconductor chamber
Grant 9,922,819 - Balasubramanian , et al. March 20, 2
2018-03-20
Pecvd Process
App 20180066364 - RAJAGOPALAN; Nagarajan ;   et al.
2018-03-08
Aluminum Fluoride Mitigation By Plasma Treatment
App 20180036775 - SHAH; Vivek Bharat ;   et al.
2018-02-08
Electrostatic Chuck Having Properties For Optimal Thin Film Deposition Or Etch Processes
App 20170352569 - KHAJA; Abdul Aziz ;   et al.
2017-12-07
Gas flow profile modulated control of overlay in plasma CVD films
Grant 9,837,265 - Kulshreshtha , et al. December 5, 2
2017-12-05
PECVD process
Grant 9,816,187 - Rajagopalan , et al. November 14, 2
2017-11-14
Rps Defect Reduction By Cyclic Clean Induced Rps Cooling
App 20170207069 - BHATIA; Sidharth ;   et al.
2017-07-20
Wafer Rotation In A Semiconductor Chamber
App 20170162385 - BALASUBRAMANIAN; Ganesh ;   et al.
2017-06-08
Loadlock Integrated Bevel Etcher System
App 20170092511 - BASU; Saptarshi ;   et al.
2017-03-30
Wafer rotation in a semiconductor chamber
Grant 9,593,419 - Balasubramanian , et al. March 14, 2
2017-03-14
Pecvd Process
App 20170016118 - RAJAGOPALAN; Nagarajan ;   et al.
2017-01-19
Gas lift valves
Grant 9,546,541 - Wang , et al. January 17, 2
2017-01-17
Processing systems and apparatus adapted to process substrates in electronic device manufacturing
Grant 9,524,889 - Hongkham , et al. December 20, 2
2016-12-20
Coiled tubing deployed gas injection mandrel
Grant 9,518,456 - Balasubramanian , et al. December 13, 2
2016-12-13
Method of aligning substrate-scale mask with substrate
Grant 9,490,154 - Ravid , et al. November 8, 2
2016-11-08
Dual-channel Showerhead For Formation Of Film Stacks
App 20160322200 - ALAYAVALLI; Kaushik ;   et al.
2016-11-03
Gas Flow Profile Modulated Control Of Overlay In Plasma Cvd Films
App 20160307752 - KULSHRESHTHA; Prashant Kumar ;   et al.
2016-10-20
Shape Memory Material Gas Lift Valve Actuator
App 20160290099 - Balasubramanian; Ganesh
2016-10-06
PECVD process
Grant 9,458,537 - Rajagopalan , et al. October 4, 2
2016-10-04
Substrate Lift Assemblies
App 20160240410 - Reuter; Paul B. ;   et al.
2016-08-18
Apparatus And Method For Purging Gaseous Compounds
App 20160222507 - ROCHA-ALVAREZ; Juan Carlos ;   et al.
2016-08-04
Substrate-scale Mask Alignment
App 20160211185 - Ravid; Abraham ;   et al.
2016-07-21
Gas flow profile modulated control of overlay in plasma CVD films
Grant 9,390,910 - Kulshreshtha , et al. July 12, 2
2016-07-12
Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
Grant 9,355,876 - Reuter , et al. May 31, 2
2016-05-31
Apparatus and method for substrate clamping in a plasma chamber
Grant 9,337,072 - Balasubramanian , et al. May 10, 2
2016-05-10
Gas Flow Profile Modulated Control Of Overlay In Plasma Cvd Films
App 20160099147 - KULSHRESHTHA; Prashant Kumar ;   et al.
2016-04-07
Dual Temperature Heater
App 20160093521 - DU BOIS; Dale R. ;   et al.
2016-03-31
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20160084400 - SANKARAKRISHNAN; Ramprakash ;   et al.
2016-03-24
High Temperature Electrostatic Chucking With Dielectric Constant Engineered In-situ Charge Trap Materials
App 20160064264 - KULSHRESHTHA; Prashant ;   et al.
2016-03-03
Method And Apparatus Of Processing Wafers With Compressive Or Tensile Stress At Elevated Temperatures In A Plasma Enhanced Chemical Vapor Deposition System
App 20160049323 - YE; Zheng John ;   et al.
2016-02-18
Pecvd Process
App 20160017497 - RAJAGOPALAN; NAGARAJAN ;   et al.
2016-01-21
Method and system for supplying a cleaning gas into a process chamber
Grant 9,206,511 - Sankarakrishnan , et al. December 8, 2
2015-12-08
PECVD process
Grant 9,157,730 - Rajagopalan , et al. October 13, 2
2015-10-13
Elimination of first wafer effect for PECVD films
Grant 9,157,151 - Lakshmanan , et al. October 13, 2
2015-10-13
Wafer Rotation In A Semiconductor Chamber
App 20150262859 - BALASUBRAMANIAN; Ganesh ;   et al.
2015-09-17
Edge Hump Reduction Faceplate By Plasma Modulation
App 20150247237 - HA; Sungwon ;   et al.
2015-09-03
Pecvd Apparatus And Process
App 20150226540 - Rajagopalan; Nagarajan ;   et al.
2015-08-13
Plasma Processing Using Multiple Radio Frequency Power Feeds For Improved Uniformity
App 20150136325 - YE; Zheng John ;   et al.
2015-05-21
High Throughput Multi-layer Stack Deposition
App 20140287593 - HAN; Xinhai ;   et al.
2014-09-25
Processing Systems, Apparatus, And Methods Adapted To Process Substrates In Electronic Device Manufacturing
App 20140263165 - Hongkham; Steve S. ;   et al.
2014-09-18
Process Load Lock Apparatus, Lift Assemblies, Electronic Device Processing Systems, And Methods Of Processing Substrates In Load Lock Locations
App 20140262036 - Reuter; Paul B. ;   et al.
2014-09-18
Confined process volume PECVD chamber
Grant 8,778,813 - Sankarakrishnan , et al. July 15, 2
2014-07-15
Coiled Tubing Deployed Gas Injection Mandrel
App 20140116719 - Balasubramanian; Ganesh ;   et al.
2014-05-01
Pecvd Process
App 20140118751 - RAJAGOPALAN; Nagarajan ;   et al.
2014-05-01
Bottom And Side Plasma Tuning Having Closed Loop Control
App 20140087489 - ROCHA-ALVAREZ; Juan Carlos ;   et al.
2014-03-27
Controlling Temperature In Substrate Processing Systems
App 20140083361 - ROCHA-ALVAREZ; Juan Carlos ;   et al.
2014-03-27
Apparatus And Method For Purging Gaseous Compounds
App 20140083523 - ROCHA-ALVAREZ; Juan Carlos ;   et al.
2014-03-27
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20140076236 - SANKARAKRISHNAN; Ramprakash ;   et al.
2014-03-20
Gas Lift Valves
App 20140069659 - Wang; Chao ;   et al.
2014-03-13
Method and system for supplying a cleaning gas into a process chamber
Grant 8,591,699 - Sankarakrishnan , et al. November 26, 2
2013-11-26
Compensating Concentration Uncertainity
App 20130284090 - BALASUBRAMANIAN; Ganesh ;   et al.
2013-10-31
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20130213574 - Sankarakrishnan; Ramprakash ;   et al.
2013-08-22
Methods to improve the in-film defectivity of PECVD amorphous carbon films
Grant 8,282,734 - Padhi , et al. October 9, 2
2012-10-09
Systems For Plasma Enhanced Chemical Vapor Deposition And Bevel Edge Etching
App 20120211164 - Shah; Ashish ;   et al.
2012-08-23
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20120208373 - PADHI; DEENESH ;   et al.
2012-08-16
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20120204795 - Padhi; Deenesh ;   et al.
2012-08-16
Systems for plasma enhanced chemical vapor deposition and bevel edge etching
Grant 8,197,636 - Shah , et al. June 12, 2
2012-06-12
Confined Process Volume Pecvd Chamber
App 20110294303 - Sankarakrishnan; Ramprakash ;   et al.
2011-12-01
Shadow Ring For Modifying Wafer Edge And Bevel Deposition
App 20110159211 - Du Bois; Dale R. ;   et al.
2011-06-30
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20110104400 - Padhi; Deenesh ;   et al.
2011-05-05
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20110090613 - Balasubramanian; Ganesh ;   et al.
2011-04-21
Apparatus and method for centering a substrate in a process chamber
Grant 7,922,440 - Du Bois , et al. April 12, 2
2011-04-12
Dual Temperature Heater
App 20110034034 - Du Bois; Dale R. ;   et al.
2011-02-10
Method for depositing an amorphous carbon film with improved density and step coverage
Grant 7,867,578 - Padhi , et al. January 11, 2
2011-01-11
Methods of uniformity control for low flow process and chamber to chamber matching
Grant 7,829,145 - Balasubramanian , et al. November 9, 2
2010-11-09
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
Grant 7,802,538 - Padhi , et al. September 28, 2
2010-09-28
Method and system for supplying a cleaning gas into a process chamber
Grant 7,699,935 - Sankarakrishnan , et al. April 20, 2
2010-04-20
Method and System for Supplying a Cleaning Gas Into a Process Chamber
App 20100012273 - Sankarakrishnan; Ramprakash ;   et al.
2010-01-21
Method And System For Supplying A Cleaning Gas Into A Process Chamber
App 20090314309 - Sankarakrishnan; Ramprakash ;   et al.
2009-12-24
Uniformity control for low flow process and chamber to chamber matching
Grant 7,622,005 - Balasubramanian , et al. November 24, 2
2009-11-24
Blocker plate bypass to distribute gases in a chemical vapor deposition system
Grant 7,572,337 - Rocha-Alvarez , et al. August 11, 2
2009-08-11
Methods to improve the in-film defectivity of PECVD amorphous carbon films
Grant 7,514,125 - Padhi , et al. April 7, 2
2009-04-07
Uniformity Control For Low Flow Process And Chamber To Chamber Matching
App 20090047446 - Balasubramanian; Ganesh ;   et al.
2009-02-19
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20090044753 - Padhi; Deenesh ;   et al.
2009-02-19
Systems For Plasma Enhanced Chemical Vapor Deposition And Bevel Edge Etching
App 20090014127 - Shah; Ashish ;   et al.
2009-01-15
Apparatus And Method For Processing A Substrate Edge Region
App 20090017635 - Shah; Ashish ;   et al.
2009-01-15
Apparatus And Method For Centering A Substrate In A Process Chamber
App 20090017228 - Du Bois; Dale R. ;   et al.
2009-01-15
Method For Depositing An Amorphous Carbon Film With Improved Density And Step Coverage
App 20080153311 - Padhi; Deenesh ;   et al.
2008-06-26
Apparatus And Method For Substrate Clamping In A Plasma Chamber
App 20080084650 - Balasubramanian; Ganesh ;   et al.
2008-04-10
Overall defect reduction for PECVD films
App 20080050932 - Lakshmanan; Annamalai ;   et al.
2008-02-28
Method For Depositing an Amorphous Carbon Film with Improved Density and Step Coverage
App 20080003824 - Padhi; Deenesh ;   et al.
2008-01-03
Methods To Improve The In-film Defectivity Of Pecvd Amorphous Carbon Films
App 20070295272 - Padhi; Deenesh ;   et al.
2007-12-27
Elimination Of First Wafer Effect For Pecvd Films
App 20070281083 - LAKSHMANAN; ANNAMALAI ;   et al.
2007-12-06
Situ oxide cap layer development
Grant 7,273,823 - Lakshmanan , et al. September 25, 2
2007-09-25
Enhancement of remote plasma source clean for dielectric films
App 20070207275 - Nowak; Thomas ;   et al.
2007-09-06
Interface engineering to improve adhesion between low k stacks
Grant 7,259,111 - Padhi , et al. August 21, 2
2007-08-21
Method To Deposit Functionally Graded Dielectric Films Via Chemical Vapor Deposition Using Viscous Precursors
App 20070079753 - Padhi; Deenesh ;   et al.
2007-04-12
Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
Grant 7,189,658 - Lakshmanan , et al. March 13, 2
2007-03-13
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
Grant 7,166,544 - Padhi , et al. January 23, 2
2007-01-23
In situ oxide cap layer development
App 20060276054 - Lakshmanan; Annamalai ;   et al.
2006-12-07
Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
App 20060252273 - Lakshmanan; Annamalai ;   et al.
2006-11-09
Interface engineering to improve adhesion between low k stacks
App 20060160376 - Padhi; Deenesh ;   et al.
2006-07-20
Method to reduce plasma damage during cleaning of semiconductor wafer processing chamber
App 20060054183 - Nowak; Thomas ;   et al.
2006-03-16
Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
App 20060046520 - Padhi; Deenesh ;   et al.
2006-03-02
Blocker plate bypass to distribute gases in a chemical vapor deposition system
App 20050263248 - Rocha-Alvarez, Juan Carlos ;   et al.
2005-12-01
Uniformity control for low flow process and chamber to chamber matching
App 20050263072 - Balasubramanian, Ganesh ;   et al.
2005-12-01
Method for fixing and immobilising a catalyst on a support
App 20030176279 - Baudin, Isabelle ;   et al.
2003-09-18

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed