Semiconductor Structure

Shih; Shing-Yih ;   et al.

Patent Application Summary

U.S. patent application number 17/449951 was filed with the patent office on 2022-01-27 for semiconductor structure. The applicant listed for this patent is NANYA TECHNOLOGY CORPORATION. Invention is credited to Shing-Yih Shih, Mao-Ying Wang, Hung-Mo Wu.

Application Number20220028734 17/449951
Document ID /
Family ID
Filed Date2022-01-27

United States Patent Application 20220028734
Kind Code A1
Shih; Shing-Yih ;   et al. January 27, 2022

SEMICONDUCTOR STRUCTURE

Abstract

A semiconductor structure includes a semiconductor device, a conductive line, a dielectric layer and a redistribution layer (RDL). The conductive line is present over the semiconductor device. The dielectric layer is present over the conductive line. The RDL includes a conductive structure over the dielectric layer and a conductive via extending downwards from the conductive structure and through the dielectric layer. The conductive via comprises a bottom portion, a top portion, and a tapered portion between the bottom and top portions, wherein the tapered portion has a width variation greater than that of the bottom and top portions.


Inventors: Shih; Shing-Yih; (New Taipei City, TW) ; Wang; Mao-Ying; (New Taipei City, TW) ; Wu; Hung-Mo; (New Taipei City, TW)
Applicant:
Name City State Country Type

NANYA TECHNOLOGY CORPORATION

New Taipei City

TW
Appl. No.: 17/449951
Filed: October 5, 2021

Related U.S. Patent Documents

Application Number Filing Date Patent Number
16439690 Jun 12, 2019 11189523
17449951

International Class: H01L 21/768 20060101 H01L021/768; H01L 23/31 20060101 H01L023/31; H01L 23/522 20060101 H01L023/522

Claims



1. A semiconductor structure, comprising: a semiconductor device; a conductive line over the semiconductor device; a dielectric layer over the conductive line; and a redistribution layer (RDL) comprising a conductive structure over the dielectric layer and a conductive via extending downwards from the conductive structure and through the dielectric layer, wherein the conductive via comprises a bottom portion, a top portion, and a tapered portion between the bottom and top portions, wherein the tapered portion has a width variation greater than that of the bottom and top portions.

2. The semiconductor structure of claim 1, wherein the tapered portion tapers from the top portion to the bottom portion.

3. The semiconductor structure of claim 1, wherein the bottom portion is in contact with the conductive line.

4. The semiconductor structure of claim 1, further comprising: a protective layer over the RDL.

5. The semiconductor structure of claim 1, wherein a bottom surface of the conductive via is below a top surface of the conductive line.
Description



CROSS-REFERENCE TO RELATED APPLICATION

[0001] This application is a Divisional Application of the U.S. application Ser. No. 16/439,690, filed on Jun. 12, 2019, the entirety of which is incorporated by reference herein in their entireties.

BACKGROUND

Technical Field

[0002] The present disclosure relates to a semiconductor structure. More particularly, the present disclosure relates to a semiconductor structure including a redistribution layer (RDL).

Description of Related Art

[0003] With the rapid growth of electronic industry, the development of integrated circuits (ICs) has achieved high performance and miniaturization. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. As a result, via holes for a redistribution layer (RDL) of an IC are scaled down as well.

SUMMARY

[0004] According to some embodiments of the present disclosure, a semiconductor structure includes a semiconductor device, a conductive line, a dielectric layer and a redistribution layer (RDL). The conductive line is present over the semiconductor device. The dielectric layer is present over the conductive line. The RDL includes a conductive structure over the dielectric layer and a conductive via extending downwards from the conductive structure and through the dielectric layer. The conductive via comprises a bottom portion, a top portion, and a tapered portion between the bottom and top portions, wherein the tapered portion has a width variation greater than that of the bottom and top portions.

[0005] According to some embodiments of the present disclosure, the tapered portion tapers from the top portion to the bottom portion.

[0006] According to some embodiments of the present disclosure, the bottom portion is in contact with the conductive line.

[0007] According to some embodiments of the present disclosure, the semiconductor structure further includes a protective layer. The protective layer is present over the RDL.

[0008] According to some embodiments of the present disclosure, the bottom surface of the conductive via is below the top surface of the conductive line.

[0009] In summary, the disclosure provides a semiconductor structure and fabrication method. The via hole includes the bottom portion, the tapered portion, and the top portion. Since the tapered portion and the top portion are wider than the bottom portion, the tapered portion and the top portion can provide more space for metal deposition, which in turn can mitigate any adverse impact resulting from the overhang of following metal deposition. Moreover, the bottom portion is more narrow than the tapered portion and the top portion, in such a way, an improved via density can be achieved.

[0010] It is to be understood that both the foregoing general description and the following detailed description are by examples, and are intended to provide further explanation of the disclosure as claimed.

BRIEF DESCRIPTION OF THE DRAWINGS

[0011] The disclosure can be more fully understood by reading the following detailed description of the embodiment, with reference made to the accompanying drawings as follows:

[0012] FIG. 1 is a schematic cross-section view showing a semiconductor structure in accordance with some embodiments of the present disclosure.

[0013] FIGS. 2-3 and 5-14 are schematic cross-sectional views of a method of forming a semiconductor structure at various stages in accordance with some embodiments of the present disclosure.

[0014] FIG. 4 is a schematic view of an embodiment of a photomask which is used to pattern a photoresist layer.

DETAILED DESCRIPTION

[0015] Reference will now be made in detail to the present embodiments of the disclosure, examples of which are illustrated in the accompanying drawings. Wherever possible, the same reference numbers are used in the drawings and the description to refer to the same or like parts.

[0016] FIG. 1 is a schematic cross-section view showing a semiconductor structure 10 in accordance with some embodiments of the present disclosure. Referring to FIG. 1, a dielectric layer 300 is present over an interconnect structure 130 that is present over a substrate 110. A redistribution layer 700a including a conductive via 710 and a conductive structure 720a is present over the substrate 110. The RDL 700a is in contact with the dielectric layer 300. A protective layer 900 is present over and covers the RDL 700a.

[0017] In some embodiments, the substrate 110 may be a silicon substrate. Alternatively, the substrate 110 may include another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. In yet another alternative, the substrate 110 is a semiconductor-on-insulator (SOI) such as having a buried layer.

[0018] In some embodiments, one or more active and/or passive devices 120 are formed over the substrate 110. The one or more active and/or passive devices 120 may include various N-type metal-oxide semiconductor (NMOS) and/or P-type metal-oxide semiconductor (PMOS) devices, such as transistors, capacitors, resistors, diodes, photo-diodes, fuses, and the like.

[0019] The interconnect structure 130 is formed over the one or more active and/or passive devices 120 and the substrate 110. The interconnect structure 130 electrically interconnects the one or more active and/or passive devices 120 to form functional electrical circuits within the semiconductor structure 10. The interconnect structure 130 may include one or more metallization layers 140.sub.0 to 140.sub.n, wherein n+1 is the number of the one or more metallization layers 140.sub.0 to 140.sub.n. In some embodiments, the value of n may vary in response to design specifications of the semiconductor structure 10. The metallization layers 140.sub.1 to 140.sub.n may include dielectric layers 152.sub.1 to 152.sub.n, conductive plugs 160.sub.0, conductive lines 170.sub.1 to 170.sub.n, and conductive vias 180.sub.1 to 180.sub.n. The dielectric layers 152.sub.1 to 152.sub.n are formed over the corresponding dielectric layers 150.sub.1 to 150.sub.n.

[0020] In some embodiments, the metallization layer 140.sub.0 may include conductive plugs 160.sub.0 through the dielectric layer 150.sub.0, and the metallization layers 140.sub.1 to 140.sub.n comprise one or more conductive interconnects, such as conductive lines 170.sub.1 to 170.sub.n respectively in dielectric layers 152.sub.1 to 152.sub.n and conductive vias 180.sub.1 to 180.sub.n respectively in dielectric layers 150.sub.1 to 150.sub.n. The conductive plugs 160.sub.0 electrically couple the one or more active and/or passive devices 120 to the conductive lines 170.sub.1 to 170.sub.n and the conductive vias 180.sub.1 to 180.sub.n. In some embodiments where a passive device 120 is a transistor, the conductive plugs 160.sub.0 can be respectively land on a gate electrode, and source/drain regions of the passive device (transistor) 120 and thus respectively serve as a gate contact, and source/drain contacts.

[0021] In some embodiments, the conductive plugs 160.sub.0, the conductive lines 170.sub.1 to 170.sub.n and the conductive vias 180.sub.1 to 180.sub.n may be formed using any suitable method, such as damascene, dual damascene, or the like. The conductive plugs 160.sub.0, the conductive lines 170.sub.1 to 170.sub.n and the conductive vias 180.sub.1 to 180.sub.n may comprise conductive materials such as copper, aluminum, tungsten, combinations thereof, or the like. In some embodiments, the conductive plugs 160.sub.0, the conductive lines 170.sub.1 to 170.sub.n, and the conductive vias 180.sub.1 to 180.sub.n may further comprise one or more barrier/adhesion layers (not shown) to protect the respective dielectric layers 150.sub.0 to 150.sub.n and 152.sub.0 to 152.sub.n from metal diffusion and metallic poisoning. The one or more barrier/adhesion layers may comprise titanium, titanium nitride, tantalum, tantalum nitride, or the like, and may be formed using physical vapor deposition (PVD), CVD, ALD, or the like.

[0022] FIGS. 2, 3 and 5-14 are schematic cross-sectional views of a method of forming a semiconductor structure 10 at various stages in accordance with some embodiments of the present disclosure. In order to clearly illustrate the features in the present embodiment, the substrate 110 and the interconnect structure 130 (as shown FIG. 1) are not shown in FIGS. 2, 3 and 5-14.

[0023] Referring to FIG. 2, a dielectric layer 300 is formed over the conductive line 170.sub.n. The method of forming the dielectric layer 300 may use, for example, PVD, CVD, ALD, or other suitable technique. In some embodiments, the dielectric layer 300 may include a single or multiple layers. The dielectric layer 300 may include silicon oxide, silicon nitride, silicon oxynitride, or other suitable materials. In some embodiments, a barrier layer (not shown) is formed over the conductive line 170.sub.n before the dielectric layer 300 is formed. The barrier layer may be beneficial to the adhesion between the conductive line 170.sub.n and the dielectric layer 300.

[0024] Referring to FIG. 3, a photoresist layer 400 is formed over the dielectric layer 300. In some embodiments, the method of forming the photoresist layer 400 may include forming a plasma enhanced tetraethoxysilane (PETEOS) film over the dielectric layer 300. In some embodiments, the photoresist layer 400 may include an organic material, such as a spin-on carbon (SOC) material, or the like.

[0025] Referring to FIG. 4 and FIG. 5, FIG. 4 is a schematic view of a photomask 410 which is used to pattern a photoresist layer 400 in FIG. 3. As shown in FIG. 4, the photomask 410 includes a light transmissive portion 412, a light semi-transmissive portion 414, and a light shielding portion 416. The density of light shielding area of the light shielding portion 416 is larger than that of the light semi-transmissive portion 414. The light semi-transmissive portion 414 is present between the light transmissive portion 412 and the light shielding portion 416. In some embodiments, the method of forming the photomask 410 with the light transmissive portion 412, the light semi-transmissive portion 414, and the light shielding portion 416 may use chrome on glass (COG), phase shift mask, or other suitable methods.

[0026] As shown in FIG. 5, the photomask 410 (as shown FIG. 4) is used to pattern the photoresist layer 400 (as shown FIG. 3) and thus a mask feature 420 is formed. In other words, the photoresist layer 400 (as shown FIG. 3) is patterned by using suitable photolithography techniques to form a mask feature 420. The mask feature 420 has an outer portion 422 and an inner portion 424. The inner portion 424 is wider than the outer portion 422. The inner portion 424 is in contact with the dielectric layer 300.

[0027] In the present embodiment, an opening 500 is defined by the mask feature 420, and the opening 500 exposes the dielectric layer 300. The opening has a bottom portion 502 and a top portion 504, and the top portion 504 is communicated to the bottom portion 502. In greater detail, the bottom portion 502 has a width W1, while the top portion 504 has a width W2. The width W2 is wider than the width W1. Since the light transmissive portion 412, semi-transmissive portion 414, and the shielding portion 416 of the photomask 410 have different light transmission depths, the mask feature 420 in FIG. 5 is caused to have the opening 500, and the opening 500 has the bottom portion 502 with the width W1 and the top portion 504 with the width W2.

[0028] Referring to FIG. 6, the dielectric layer 300 is etched using the mask feature 420 as an etch mask. This etching process results in a via hole 600 in the dielectric layer 300, and the via hole 600 has substantially width W1 as the width W1 of the bottom portion 502 of the opening 500 in the mask feature 420, because the etching process is performed using the mask feature 420 as an etch mask.

[0029] As shown in FIG. 6, a portion of the dielectric layer 300 remains below the via hole 600. The via hole 600 is present below the opening 500. In other words, the portion of the dielectric layer 300 remains between the via hole 600 and the underlying conductive line 170.sub.n.

[0030] In some embodiments, the method of etching the dielectric layer 300 may use dry etching. The dry etchant, e.g., H.sub.2 and N.sub.2, may be selected for dry etching process to etch the dielectric layer 300.

[0031] Referring to FIG. 7, the dielectric layer 300 is etched using the mask feature 420 as an etch mask such that the via hole 600 is deepened and expanded. In greater details, the inner portion 424 of the mask feature 420 is consumed during the etching process, and thickness of the outer portion 422 of the mask feature 420 is reduced, such that the outer portion 422 of the mask feature 420 is aligned with the inner portion 424 of the mask feature 420. In other words, a portion of the dielectric layer 300 below the inner portion 424 is etched after the inner portion 424 of the mask feature 420 is consumed, thereby causing the via hole 600 having a tapered profile. Stated differently, since the mask feature 420 (as shown FIG. 6) has a stepped profile, e.g., the inner portion 424 and the outer portion 422, the via hole 600 has a tapered profile. In some embodiments, the width of the top portion 504 of the opening 500 is equal to that of the bottom portion 502 of the opening 500. Since the mask feature 420 has an laterally expanded bottom portion 502 of the opening 500, the etching process results in laterally expanding the top portion 600t of the via hole 600 in an interface of the dielectric layer 300 and the mask feature 420. In greater detail, the top portion 600t of the via hole 600 is expanded and has a width W2. For example, the width W2 of the top portion 600t of the via hole 600 is equal to the width W2 of the top portion 504 of the opening 500 in FIG. 5.

[0032] In some embodiments, etching the dielectric layer 300 to expand the via hole 600 in FIG. 7 is in-situ performed with the previous etching process in FIG. 6. Stated differently, the etching process of expanding the via hole 600 and the etching process in FIG. 6 can be performed without vacuum break. For example, the etching process of expanding the via hole 600 and the etching process in FIG. 6 can be performed in the same etching tool and have substantially the same etching parameters.

[0033] Referring to FIG. 8, the dielectric layer 300 is etched using the mask feature 420 as the etch mask. In greater detail, the etching process deepens the via hole 600 until reaching the conductive line 170.sub.n. In other words, the conductive line 170.sub.n is exposed. In some embodiments, etching the dielectric layer 300 to deepen the via hole 600 in FIG. 8 is in-situ performed with the previous etching process in FIG. 7. Stated differently, the etching process of deepening the via hole 600 and the etching process of expanding the via hole 600 (as shown in FIG. 7) can be performed without vacuum break. For example, the etching process of deepening the via hole 600 and the etching process of expanding the via hole 600 can be performed in the same etching tool and have substantially the same etching parameters.

[0034] Referring to FIG. 9, the dielectric layer 300 is etched using the mask feature 420 as the etch mask. The etching process deepens the via hole 600 such that a recess R is formed within the conductive line 170.sub.n. In greater detail, the via hole 600 has a bottom portion 602, a tapered portion 604 over the bottom portion 602, and a top portion 606 over the tapered portion 604. The tapered portion 604 tapers from the top portion 606 to the bottom portion 602. A width variation of the bottom portion 602 is less than that of the tapered portion 604, and a width variation of the top portion 606 is less than that of the tapered portion 604 as well. The bottom portion 602 of the via hole 600 is in contact with the conductive line 170.sub.n. In other words, the bottom portion 602 of the via hole 600 is in contact with the dielectric layer 300 and the conductive line 170.sub.n.

[0035] In some embodiments, the width of the bottom portion 602 is substantially unchanged, and the width of the top portion 606 is substantially unchanged as well. Since the tapered portion 604 and the top portion 606 is wider than the bottom portion 602, the tapered portion 604 and the top portion 606 can provide more space for following metal deposition, which in turn can mitigate the adverse impact resulting from overhang of following metal deposition. Moreover, because the bottom portion 602 is narrower than the tapered portion 604 and the top portion 606, an improved via density can be achieved.

[0036] In some embodiments, deepening the via hole 600 within the conductive line 170.sub.n is in-situ performed with the previous etching process of deepening the via hole 600 in the dielectric layer 300 (as shown in FIG. 8). In other words, the etching process of deepening the via hole 600 within the conductive line 170.sub.n and the etching process of deepening the via hole 600 in the dielectric layer 300 can be performed without vacuum break. For example, the etching process of deepening the via hole 600 within the conductive line 170.sub.n and the etching process of deepening the via hole 600 in the dielectric layer 300 can be performed in the same etching tool and have substantially the same etching parameters.

[0037] Referring to FIG. 10, the mask feature 420 is removed. In some embodiments, removing the mask feature 420 may be performed by using a photoresist strip process, such as an ashing process.

[0038] Referring to FIG. 11, a conductive material is filled in the via hole 600. In other words, the conductive material is also filled into the recess R (as shown FIG. 10). As shown in FIG. 11, a conductive layer 700 is formed over the dielectric layer 300. In the greater detail, the conductive layer 700 includes a conductive via 710 and a conductive structure 720. The conductive layer 700 covers the dielectric layer 300 and is filled into the via hole 600 to form the conductive via 710 in the via hole 600. In some embodiments, the conductive layer 700 includes a metal or a metal alloy such as aluminum (Al), copper (Cu), other suitable conductive material, or combinations thereof. The conductive layer 700 may be formed by a PVD method such as sputtering method, or other suitable methods.

[0039] In the present embodiment, the conductive via 710 extends downwards from the conductive structure 720 and through the dielectric layer 300. Because the conductive via 710 fills the via hole 600, the conductive via 710 inherits the profile of the via hole 600. In greater detail, the conductive via 710 includes a bottom portion 712, a tapered portion 714, and a top portion 716. The tapered portion 714 tapers from the top portion 716 to the bottom portion 712. A width variation of the bottom portion 712 is less than that of the tapered portion 714, and a width variation of the top portion 716 is less than that of the tapered portion 714 as well. For example, the width of the bottom portion 712 is substantially unchanged, and the width of the top portion 716 is substantially unchanged as well. In the present embodiment, the bottom surface of the conductive via 710 is below the top surface of the conductive line 170.sub.n.

[0040] Referring to FIG. 12, a patterned mask feature 800 is formed over the conductive layer 700. The patterned mask feature 800 covers a portion of the conductive structure 720, while exposes the other portion of the conductive structure 720. In the present embodiment, the patterned mask feature 800 is a photoresist layer. The method of forming the patterned mask feature 800 may include first forming a photoresist layer and then patterning the photoresist layer with a photolithography process.

[0041] Afterwards, the conductive layer 700 is patterned using the patterned mask feature 800 as an etch mask. The resulting structure is shown in FIG. 13. After patterning the conductive layer 700 using suitable etching techniques, the patterned mask feature 800 is removed by, for example, an ashing process. As shown in FIG. 13, the redistribution layer (RDL) 700a includes a conductive structure 720a and the conductive via 710. The conductive structure 720a covers the conductive via 710 and a portion of the dielectric layer 300, while exposes the other portion of the dielectric layer 300.

[0042] Referring to FIG. 14, a protective layer 900 is formed on the substrate 110 to cover the RDL 700a and the dielectric layer 300. In some embodiments, the protective layer 900 is a single, double, or multi-layer structure. The protective layer 900 may include silicon oxide, silicon, silicon oxynitride, silicon nitride, an organic material, a polymer or combinations thereof. The organic material is, for example, benzocyclobutene (BCB), and the polymer is, for example, polyimide (PI). The protective layer 900 may be formed by a CVD method, a coating method, or other suitable method. In the present embodiment, the protective layer 900 includes a silicon oxide layer 910, a silicon nitride layer 920, and a polyimide layer 930.

[0043] In summary, the conductive via includes the bottom portion, the tapered portion, and the top portion. Since the tapered portion and the top portion are wider than the bottom portion, the tapered portion and the top portion are beneficial to mitigating the adverse impact, such as overhang of metal deposition. Moreover, since the bottom portion is more narrow than the tapered portion and the top portion, an improved via density can be achieved.

[0044] Although the present disclosure has been described in considerable detail with reference to certain embodiments thereof, other embodiments are possible. Therefore, the spirit and scope of the appended claims should not be limited to the description of the embodiments contained herein.

[0045] It will be apparent to those skilled in the art that various modifications and variations can be made to the structure of the present disclosure without departing from the scope or spirit of the disclosure. In view of the foregoing, it is intended that the present disclosure cover modifications and variations of this disclosure provided they fall within the scope of the following claims.

* * * * *


uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed