Organometallic Compounds And Purification Of Such Organometallic Compounds

ODEDRA; Rajesh ;   et al.

Patent Application Summary

U.S. patent application number 17/213328 was filed with the patent office on 2021-07-15 for organometallic compounds and purification of such organometallic compounds. This patent application is currently assigned to SEASTAR CHEMICALS ULC. The applicant listed for this patent is SEASTAR CHEMICALS ULC. Invention is credited to Cunhai DONG, Diana FABULYAK, Wesley GRAFF, Rajesh ODEDRA.

Application Number20210214379 17/213328
Document ID /
Family ID1000005480112
Filed Date2021-07-15

United States Patent Application 20210214379
Kind Code A1
ODEDRA; Rajesh ;   et al. July 15, 2021

ORGANOMETALLIC COMPOUNDS AND PURIFICATION OF SUCH ORGANOMETALLIC COMPOUNDS

Abstract

Disclosed herein are methods of purifying compounds useful for the deposition of high purity tin oxide and high purity compounds purified by those methods. Such compounds are those of the Formula as follows R.sub.x--Sn-A.sub.4-x wherein: A is selected from the group consisting of (Y.sub.aR'.sub.z) and a 3- to 7-membered N-containing heterocyclic group; each R group is independently selected from the group consisting of an alkyl or aryl group having from 1 to 10 carbon atoms; each R' group is independently selected from the group consisting of an alkyl, acyl or aryl group having from 1 to 10 carbon atoms; x is an integer from 0 to 4; a is an integer from 0 to 1; Y is selected from the group consisting of N, O, S, and P; and z is 1 when Y is O, S or when Y is absent and z is 2 when Y is N or P.


Inventors: ODEDRA; Rajesh; (West Timperely, GB) ; DONG; Cunhai; (Victoria, CA) ; FABULYAK; Diana; (Victoria, CA) ; GRAFF; Wesley; (Singapore, SG)
Applicant:
Name City State Country Type

SEASTAR CHEMICALS ULC

Sidney

CA
Assignee: SEASTAR CHEMICALS ULC
Sidney
CA

Family ID: 1000005480112
Appl. No.: 17/213328
Filed: March 26, 2021

Related U.S. Patent Documents

Application Number Filing Date Patent Number
16834361 Mar 30, 2020
17213328
16442930 Jun 17, 2019
16834361
PCT/CA2018/050933 Jul 31, 2018
16442930

Current U.S. Class: 1/1
Current CPC Class: G03F 7/09 20130101; G03F 7/167 20130101; C07F 7/2284 20130101; G03F 7/161 20130101
International Class: C07F 7/22 20060101 C07F007/22; G03F 7/09 20060101 G03F007/09; G03F 7/16 20060101 G03F007/16

Foreign Application Data

Date Code Application Number
Aug 2, 2017 CA 2975104

Claims



1-19. (canceled)

20. A high purity organometallic compound having a purity greater than 95%, the high purity organometallic compound being a compound of Formula I: R.sub.x--Sn-A.sub.4-x Formula I wherein: A is selected from the group consisting of (YaR'z) and a 3- to 7-membered N-containing heterocyclic group; each R group is independently selected from the group consisting of an alkyl or aryl group having from 1 to 10 carbon atoms; each R' group is independently selected from the group consisting of an alkyl, acyl or aryl group having from 1 to 10 carbon atoms; x is an integer from 0 to 4; a is an integer from 0 to 1; Y is selected from the group consisting of N, O, S, and P; and z is 1 when Y is O, S or when Y is absent and z is 2 when Y is N or P.

21. The high purity organometallic compound of claim 20, wherein the purity is greater than 98%.
Description



CROSS REFERENCE TO RELATED APPLICATIONS

[0001] This application is a Divisional of copending application Ser. No. 16/442,930, filed Jun. 17, 2019, which is a Continuation of PCT International Patent Application No. PCT/CA2018/050933 filed Jul. 31, 2018, which claims priority to Canadian Application No. 2975104 filed Aug. 2, 2017, all of which are incorporated by reference in their entireties.

TECHNICAL FIELD

[0002] The disclosure relates to organometallic compounds useful for the deposition of high purity tin oxide and to the purification of such organometallic compounds.

BACKGROUND

[0003] The semiconductor industry is producing more and more components having smaller and smaller feature sizes. The production of such semiconductor devices reveals new design and manufacturing challenges which must be addressed in order to maintain or improve semiconductor device performance. The production of semiconductor wiring stacks with high density, high yield, good signal integrity as well as suitable power delivery also presents challenges.

[0004] Lithography is a critical pattern transfer technique widely used in the fabrication of a variety of electronic devices which contain microstructures, such as semiconductor devices and liquid crystal devices. As device structures are miniaturized, masking patterns used in the lithography process must be optimized to accurately transfer patterns to the underlying layers.

[0005] Multiple-pattern lithography represents a class of technologies developed for photolithography in order to enhance the feature density of semiconductor devices. Double-patterning, a subset of multiple-patterning, employs multiple masks and photolithographic steps to create a particular level of a semiconductor device. With benefits such as tighter pitches and narrower wires, double-patterning alters relationships between variables related to semiconductor device wiring and wire quality to sustain performance.

[0006] Recently, a liquid immersion lithography method has been reported, which purports to address some of the issues facing the industry. By employing liquid immersion lithography, a resist pattern having a higher resolution and an excellent depth of focus can be formed at a low cost, using a lens mounted on existing exposure systems, such that the liquid immersion lithography has attracted considerable attention.

[0007] As a result of moving to immersion lithography and multi-patterning, the need exists for a new class of conformally deposited materials to be deposited on top of photo resist, BARC, and other traditional masking layers. This new conformal deposition layer can serve 2 major functions: [0008] 1) It can act as a transparent protection layer (or "mask") to prevent chemical attack by the immersion lithography fluid. In this case, the conformal layer needs to be transparent, and be able to integrate with the lithography process without adverse patterning and exposure issues. [0009] 2) It can have a higher etch selectivity than prior art and traditional films such as amorphous carbon (which become more opaque with increasing thickness). For example, multi-patterning processes may require thicker (>10,000 A), and therefore more opaque, amorphous carbon layers in order to achieve the necessary etch protection. To achieve a similar etch resistance, metal oxide conformal films can remain transparent while maintaining the required etch selectivity during the plasma etch process.

[0010] High purity of the reactant gases used in these processes are required, in order to ensure consistent chemical makeup for smoothness, etch and deposition characteristics, 100% step coverage/conformality requirement.

[0011] The purity of the film produced is also required to be high, due to the use of the film as a resist protection layer during etch or during litho immersion processing. Impurities in the film can have adverse reactions, chemically or optically, which interfere with the pattern quality and which can affect critical dimensions on the device features as well as these impurities leaching into or contaminating adjacent layers, which can result in degradation of the integrated device performance.

[0012] Conventional resist compositions cannot always be used in liquid immersion lithography processes, for a variety of reasons. For example, in the liquid immersion lithography process, the resist film is directly in contact with the refractive index liquid (immersion liquid) during the exposure, and hence the resist film is vulnerable to attack by the liquid. Resist compositions suitable for use in liquid immersion lithography processes must also be transparent to the exposure light. Further, conventional resist compositions may not be able to achieve a satisfactory resolution of pattern in liquid immersion lithography due to a change in their properties by the liquid, despite their utility in lithography employing the exposure through a layer of air.

[0013] Thus, there remains a need for improved transparent resist protection layers which can meet the increased requirements of the industry. Further, higher selectivity ALD films are needed for multi-patterning, as outlined above.

SUMMARY

[0014] Disclosed herein are compounds useful for the deposition of high purity tin oxide. Films deposited using such compounds demonstrate high conformality, high etch selectivity, high hardness and modulus, and are optically transparent.

[0015] Compounds include those of Formula I, below:

R.sub.x--Sn-A.sub.4-x Formula I

wherein: [0016] A is selected from the group consisting of (Y.sub.aR'.sub.z) and a 3- to 7-membered N-containing heterocyclic group; [0017] each R group is independently selected from the group consisting of an alkyl or aryl group having from 1 to 10 carbon atoms; [0018] each R' group is independently selected from the group consisting of an alkyl, acyl or aryl group having from 1 to 10 carbon atoms; [0019] x is an integer from 0 to 4; [0020] a is an integer from 0 to 1; [0021] Y is selected from the group consisting of N, O, S, and P; and [0022] z is 1 when Y is O, S or when Y is absent and z is 2 when Y is N or P

[0023] The use of compounds of Formula I allows for chemical vapour deposition (CVD) and atomic layer deposition (ALD) of tin oxide at a low temperature, and produces films consisting of high purity tin oxide having low metallic impurities, high hardness and modulus, and >99% step coverage (i.e. high conformality) over device features and topography.

[0024] Also disclosed is the purification of compounds of Formula I by multistage distillation. Such purification yields so-called "ultra-pure" compounds having higher assay purity and much lower levels of metallic impurities compared to compounds purified by conventional means. The use of such ultra-pure compounds in the processes disclosed herein results in films having improved properties compared to those known in the art. For example, the films may have improved hermetic properties, low impurities and improvements in the associated yield loss and long term reliability failures resulting from such impurities. Multistage distillation may be carried out in the form of packed columns, stage distillation columns employing trays, multiple distillation columns, or other types of multistage distillation.

[0025] The tin oxide film so produced may also exhibit high etch selectivity verses traditional masking and conformal layers used in multilayer patterning integration techniques, resulting in a thinner film requirement as compared to traditional films such as amorphous carbon, boron doped carbon, etc.

[0026] In an embodiment, in the organometallic compound of Formula I, A is selected from the group consisting of an (NR'.sub.2) group and a 3- to 7-membered N-containing heterocyclic group. In an embodiment, A is an (NR'.sub.2) group. In an embodiment, A is a 3- to 7-membered N-containing heterocyclic group. In an embodiment, A is a pyrrolidinyl group. In an embodiment, A.sub.4-x is (NMe.sub.2).sub.2 or (NEtMe).sub.2.

[0027] In other embodiments R and R' group is an independently selected alkyl group having from 1 to 10 carbon atoms. It is contemplated that each R and R' group may be an independently selected alkyl group having from 1 to 6 carbon atoms. In embodiments, each R and R' group is an independently selected alkyl group having from 1 to 4 carbon atoms. In embodiments, R and R' is independently selected from the group consisting of methyl, ethyl, propyl, iso-propyl, tert-butyl, iso-butyl and n-butyl. In embodiments R and R' represent different alkyl groups.

[0028] In an embodiment, the compound of Formula I is selected from the group consisting of Me.sub.2Sn(NMe.sub.2).sub.2, Me.sub.2Sn(NEtMe).sub.2 t-BuSn(NEtMe).sub.3, i-PrSn(NEtMe).sub.3, n-Pr(NEtMe).sub.3, EtSN(NEtMe).sub.3, i-BuSn(NEtMe).sub.3, Et.sub.2Sn(NEtMe).sub.2, Me.sub.2Sn(NEtMe).sub.2, Sn(NEtMe).sub.4, Bu.sub.2Sn(NEtMe).sub.2, Et.sub.2Sn(NMe.sub.2).sub.2, Me.sub.2Sn(NEt.sub.2).sub.2, Sn(Pyrrolidinyl).sub.4 and Bu.sub.2Sn(Pyrrolidinyl).sub.2.

[0029] In embodiments, the compound of Formula I is selected from the group consisting of Me.sub.2Sn(NMe.sub.2).sub.2, Me.sub.2Sn(NEtMe).sub.2, Et.sub.2Sn(NMe.sub.2).sub.2, Me.sub.2Sn(NEt.sub.2).sub.2, Sn(Pyrrolidinyl).sub.4, and Bu.sub.2Sn(Pyrrolidinyl).sub.2.

[0030] In embodiments, the compound of Formula I is selected from the group consisting of Me.sub.2Sn(NEtMe).sub.2 and Me.sub.2Sn(NMe.sub.2).sub.2.

[0031] In embodiments, the compound of Formula I is Me.sub.2Sn(NMe.sub.2).sub.2.

[0032] In embodiments, a composition is provided that comprises the organometallic compound of any of the disclosed compounds and another organometallic compound containing Sn. The another organometallic compound may be a compound of Formula I.

[0033] In various embodiments, another organometallic compound is selected from the group consisting of MeSn(NMe.sub.2).sub.3 and Sn(NMe.sub.2).sub.4.

[0034] In an embodiment, a method of using multistage distillation to purify the organometallic compounds disclosed. In an embodiment, 2 to 20 stages are required to reduce metal contamination to <1 ppm. In an embodiment, 2 to 20 stages are required to reduce metal contamination to <100 ppb. In an embodiment, 2 to 20 stages are required to reduce metal contamination to <10 ppb. In an embodiment, 2 to 20 stages are required to reduce metal contamination to 1 ppb or less.

[0035] The foregoing and other features of the invention and advantages of the present invention will become more apparent in light of the following detailed description of the preferred embodiments, as illustrated in the accompanying figures. As will be realized, the invention is capable of modifications in various respects, all without departing from the invention. Accordingly, the drawings and the description are to be regarded as illustrative in nature, and not as restrictive.

BRIEF DESCRIPTION OF THE DRAWINGS

[0036] FIG. 1 shows the NMR spectrum of Me.sub.3SnNMe.sub.2.

[0037] FIG. 2 shows the NMR spectrum of Sn(NMe.sub.2).sub.4.

[0038] FIG. 3 shows the NMR spectrum of Me.sub.2Sn(NEtMe).sub.2.

[0039] FIG. 4 shows the NMR spectrum of Bu.sub.2Sn(NMe.sub.2).sub.2.

[0040] FIG. 5 shows the NMR spectrum of Me.sub.2SnEt.sub.2.

[0041] FIG. 6 shows the NMR spectrum of Me.sub.4Sn.

[0042] FIG. 7 shows the NMR spectrum of Bu.sub.2Sn(OMe).sub.2.

[0043] FIG. 8 shows the NMR spectrum of Bu.sub.2Sn(OAc).sub.2.

[0044] FIG. 9 shows the NMR spectrum of Et.sub.2Sn(NMe.sub.2).sub.2.

[0045] FIG. 10 shows the NMR spectrum of Me.sub.2Sn(NEt.sub.2).sub.2.

[0046] FIG. 11 shows the NMR spectrum of Sn(Pyrrolodinyl).sub.4.

[0047] FIG. 12 shows the NMR spectrum of Bu.sub.2Sn(Pyrrolodinyl).sub.2.

[0048] FIG. 13 shows the NMR spectrum of Et.sub.2Sn(Pyrrolodinyl).sub.2.

[0049] FIG. 14 shows the NMR spectrum of Me.sub.2Sn(NMe.sub.2).sub.2.

[0050] FIG. 15 shows the NMR spectrum of tBuSn(NMe.sub.2).sub.3

[0051] FIG. 16 shows the NMR of the reaction of (NMe.sub.2).sub.4Sn with ethanol.

[0052] FIG. 17 shows the NMR of the reaction of Me.sub.3SnNMe.sub.2 with water.

[0053] FIG. 18 shows the NMR of the reaction of Bu.sub.2Sn(OAc).sub.2 with methanol.

[0054] FIG. 19 shows the NMR of the reaction of Bu.sub.2Sn(OMe).sub.2 with acetic acid.

[0055] FIG. 20 shows the NMR of the reaction of Bu.sub.2Sn(NMe.sub.2).sub.2 with methanol.

[0056] FIG. 21 shows the NMR of Me.sub.4Sn before and after heating at 200.degree. C.

[0057] FIG. 22 shows the NMR of Et.sub.2Sn(NMe.sub.2).sub.2 before and after heating at 200.degree. C.

[0058] FIG. 23 shows the NMR of Me.sub.2Sn(NMe.sub.2).sub.2 before and after heating at 150.degree. C.

[0059] FIG. 24 shows the decomposition temperatures of illustrative compounds of Formula I.

[0060] FIG. 25 shows a schematic of a multistage distillation apparatus.

DETAILED DESCRIPTION OF PARTICULAR EMBODIMENTS

[0061] Disclosed are organometallic compounds of Formula I, below:

R.sub.x--Sn-A.sub.4-x Formula I

wherein: [0062] A is selected from the group consisting of (Y.sub.aR'.sub.z) and a 3- to 7-membered N-containing heterocyclic group; [0063] each R group is independently selected from the group consisting of an alkyl or aryl group having from 1 to 10 carbon atoms; [0064] each R' group is independently selected from the group consisting of an alkyl, acyl or aryl group having from 1 to 10 carbon atoms; [0065] x is an integer from 0 to 4; [0066] a is an integer from 0 to 1; [0067] Y is selected from the group consisting of N, O, S, and P; and [0068] z is 1 when Y is O, S or when Y is absent and z is 2 when Y is N or P

[0069] Compounds of Formula I include those in which R is selected from the group consisting of alkyl and aryl groups having from 1 to 10 carbon atoms. Particular compounds are those in which R is selected from the group consisting of alkyl and aryl groups having from 1 to 6 carbon atoms. More particular are those in which R is selected from the group consisting of alkyl and aryl groups having from 1 to 4 carbon atoms. Examples of such compounds include those in which R is a methyl, ethyl or a butyl group.

[0070] Compounds of Formula I include those in which R' is selected from the group consisting of alkyl, acyl and aryl groups having from 1 to 10 carbon atoms. Particular compounds are those in which R' is selected from the group consisting of alkyl, acyl and aryl groups having from 1 to 6 carbon atoms. More particular are those in which R' is selected from the group consisting of alkyl, acyl and aryl groups having from 1 to 4 carbon atoms. Examples of such compounds include those in which R' is a methyl group, an ethyl group or an acetyl group.

[0071] Compounds of Formula I include those in which Y is selected from the group consisting of N, O, S, and P. Particular compounds are those in which Y is selected from the group consisting of N and O.

[0072] Compounds of Formula I include those in which x is an integer from 0 to 4. In particular embodiments, x is an integer from 1 to 3. More preferably, x is 2.

[0073] Compounds of Formula I include those in which A is a 3- to 7-membered N-containing heterocyclic group such as aziridinyl, pyrrolidinyl, and piperidinyl. Particular compounds are those in which A is a pyrrolidinyl or piperidinyl group.

[0074] Compounds of Formula I include those in which R is an alkyl group and A is an NR'.sub.2 group, and wherein R' is an alkyl group. Particular compounds are those in which R and R' represent different alkyl groups.

[0075] Compounds of Formula I are thermally stable whilst exhibiting good reactivity. Thus, delivery of the compound to the deposition chamber will take place without decomposition occurring. (decomposition results in a deposited film which will not be uniform). A good stability and reactivity profile, as demonstrated by the compounds of the invention, also means that less material is required to be delivered to the growth chamber (less material is more economic), and cycling will be faster (as there will be less material left in the chamber at the end of the process to be pumped oft), meaning that thicker films can be deposited in shorter times, so increasing throughput. Further, ALD can be carried out at much lower temperatures (or using a wider temperature window) using compounds of Formula I than processes of the art. Thermal stability also means that material can be purified much more easily after synthesis, and handling becomes easier.

[0076] Such compounds are useful for encapsulating and protecting the resist layers used in liquid immersion lithography (i.e. acting as a "mask"). Thus, the compounds disclosed herein may be used for the manufacture of a transparent tin oxide film having properties suitable for deposition over photoresists, or other organic masking layers, to allow for protection of the underlying layer during liquid immersion lithography, and which permits the manufacture of devices having improved semiconductor device performance such as low defect density, improved device reliability, high device density, high yield, good signal integrity and suitable power delivery, as required by the industry.

[0077] Further, the use of a compound of Formula I in the methods disclosed herein allows for chemical vapour deposition (CVD) and atomic layer deposition (ALD) of tin oxide at a low temperature, and produces films consisting of high purity tin oxide having low metallic impurities, and >99% step coverage (i.e. high comformality) over device features and topography.

[0078] Compounds of Formula I may be prepared by processes known in the art. The examples below are illustrative of such processes, but are not intended to be limiting.

Example 1: Synthesis of Me.sub.3Sn(NMe.sub.2)

[0079] In a 250 mL flask was charged 20 mL of 2.5M Butyllithium solution in hexane and 50 mL of anhydrous hexane. To the solution, Me.sub.2NH gas was passed till fully reacted and the reaction mixture was stirred for 2 hrs. The solution of 10 g of Me.sub.3SnCl in 100 mL of anhydrous hexane was then added and the mixture was stirred for 12 hrs. Filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. NMR confirmed the product to be Me.sub.3SnNMe.sub.2, as shown in FIG. 1.

Example 2: Synthesis of Sn(NMe.sub.2).sub.4

[0080] In a 250 mL flask was charged 80 mL of 2.5M Butyllithium solution in hexane and 50 mL of anhydrous hexane. To the solution, Me.sub.2NH gas was passed till fully reacted and the reaction mixture was stirred for 2 hrs. The solution of 13 g of SnCl.sub.4 in 100 mL of anhydrous benzene was then added and the mixture was refluxed for 4 hrs. Once cooled, filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. NMR confirmed the product to be Sn(NMe.sub.2).sub.4, as shown in FIG. 2.

Example 3: Synthesis of Me.sub.2Sn(NEtMe).sub.2

[0081] Under inert atmosphere, a 1 L round bottom flask was charged with 25.00 mL of 2.5M Butyllithium solution in hexane and 200 mL of anhydrous hexane, followed by a slow addition of 5.40 mL of HNEtMe in 100 mL of anhydrous hexane. The reaction mixture was then stirred at room temperature for 1 h. The solution of 6.70 g of Me.sub.2SnCl.sub.2 in 200 mL of anhydrous benzene was then added to the flask (while cooled in the ice bath), and the reaction mixture was left stirring at room temperature overnight. The solvent was removed under reduced pressure from the filtrate. The liquid product was isolated by distillation under reduced pressure (80.degree. C. at 9.8.times.10.sup.-2 Torr). As shown in FIG. 3, the product was confirmed to be Me.sub.2Sn(NEtMe).sub.2 by NMR spectroscopy.

1) nBuLi+HNEtMe.fwdarw.LiNEtMe+butane Formula II

2) Me.sub.2SnCl.sub.2+2LiNEtMe.fwdarw.Me.sub.2Sn(NEtMe).sub.2+2LiCl Formula III

Example 4: Synthesis of Bu.sub.2Sn(NMe.sub.2).sub.2

[0082] In a 250 mL flask was charged 24 mL of 2.5M Butyllithium solution in hexane and 100 mL of anhydrous hexane. To the solution, Me.sub.2NH gas was passed till fully reacted and the reaction mixture was stirred for 2 hrs. The solution of 9.11 g of Bu.sub.2SnCl.sub.2 in 100 mL of anhydrous benzene was then added and the mixture was stirred for 4 hrs. Filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. NMR confirmed the product to be Bu.sub.2Sn(NMe.sub.2).sub.2, as shown in FIG. 4.

Example 5: Synthesis of Me.sub.2SnEt.sub.2

[0083] 6.59 g of Me.sub.2SnCl.sub.2 was dissolved in 100 mL of anhydrous ether, followed by the addition of 30 mL of 3M EtMgBr under N.sub.2. After stirring for 4 hrs, mixture was treated with 0.1MHCl solution and organic layer was collected. The collected organic layer was then treated with saturated NaHCO.sub.3 solution and organic layer is collected. Distillation under N.sub.2 was carried out to remove ether. Purification was carried out by distillation under reduced pressure. As shown in FIG. 5, NMR confirmed the product to be Me.sub.2SnEt.sub.2.

Example 6: Synthesis of Me.sub.4Sn

[0084] To the solution of 23.5 g of SnCl.sub.4 in ether was added 150 mL of 3M MeMgI under N.sub.2. After stirring for 4 hrs, mixture was treated with 0.1 M HCl solution and organic layer was collected. The collected organic layer was then treated with saturated NaHCO.sub.3 solution and organic layer is collected. Fractional distillation was carried out to remove ether. Purification was carried out by distillation under reduced pressure. As shown in FIG. 6, NMR confirmed the product to be Me.sub.4Sn.

Example 7: Synthesis of Bu.sub.2Sn(OMe).sub.2

[0085] To a 250 mL flask was charged 20 g of Bu.sub.2SnCl.sub.2 and 20 mL of anhydrous methanol, followed by the addition of 7 g of sodium methoxide in 30 mL of anhydrous methanol. The resulting mixture was refluxed for 12 hrs. Filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. As shown in FIG. 7, NMR confirmed the product to be Bu.sub.2Sn(OMe).sub.2.

Example 8: Synthesis of Bu.sub.2Sn(OAc).sub.2

[0086] Sodium acetate was first made by adding 6 g acetic acid into a solution of 5.4 g of sodium methoxide in 30 mL of anhydrous methanol. This was then added into the mixture of 30 g of Bu.sub.2SnCl.sub.2 in 30 mL of anhydrous methanol. The resulting mixture was refluxed for 4 hrs. Filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. As shown in FIG. 8, NMR confirmed the product to be Bu.sub.2Sn(OAc).sub.2.

Example 9: Synthesis of Et.sub.2Sn(NMe.sub.2).sub.2

[0087] A 1 L flask was charged with 22 mL of 2.5M Butyllithium solution in hexane and 400 mL of anhydrous hexane. Me.sub.2NH gas was passed through the solution, and the reaction mixture was stirred for 1 h. The solution of 6.71 g of Et.sub.2SnCl.sub.2 in 100 mL of anhydrous benzene was then added and the mixture was stirred for 4 hrs. Filtration was carried out to remove any solid products. The solvent was removed under reduced pressure from the filtrate. The liquid product was purified by distillation under reduced pressure. As shown in FIG. 9, NMR confirmed the product to be Et.sub.2Sn(NMe.sub.2).sub.2.

Example 10: Synthesis of Me.sub.2Sn(NEt.sub.2).sub.2

[0088] In a 250 mL flask was charged 24 mL of 2.5M Butyllithium solution in hexane and 50 mL of anhydrous hexane, followed by the addition of 4.39 g of Et.sub.2NH. The reaction mixture was stirred for 2 hrs. The solution of 6.59 g of Me.sub.2SnCl.sub.2 in 100 mL of anhydrous ether was then added and the mixture was stirred for 4 hrs. Filtration was carried out to remove solid. The solvent was removed under reduced pressure. The liquid product was purified by distillation under reduced pressure. As shown in FIG. 10, NMR confirmed the product to be Me.sub.2Sn(NEt.sub.2).sub.2.

Example 11: Synthesis of Sn(Pyrrolidinyl).sub.4

[0089] Under inert atmosphere, a 100 mL round bottom flask was charged with 0.5 mL of Sn(NMe.sub.2).sub.4 and 25 mL of anhydrous hexane, followed by a drop-wise addition of 1.1 mL of pyrrolidene. After stirring the reaction mixture at room temperature for 2 h, the solvent was removed via distillation under reduced pressure. The residue remaining in the reaction flask was confirmed to be Sn(Pyrrolodinyl).sub.4 by NMR spectroscopy, as shown in FIG. 11.

Example 12: Synthesis of Bu.sub.2Sn(Pyrrolodinyl).sub.2

[0090] Under inert atmosphere, a 1 L round bottom flask was charged with 25 mL of 2.5M Butyllithium solution in hexane and 200 mL of anhydrous hexane, followed by a slow addition of 5.3 mL of pyrrolidene in 25 mL of anhydrous hexane. The reaction mixture was then stirred at room temperature for 1 h, and then placed into the ice bath. The solution of 9.46 g of Bu.sub.2SnCl.sub.2 in 50 mL of anhydrous hexane was then added to the flask, and the reaction mixture was left stirring at room temperature for 2 h. Filtration was carried out to remove any solid products. The solvent was removed under reduced pressure from the filtrate. As shown in FIG. 12, the product was confirmed to be Bu.sub.2Sn(Pyrrolodinyl).sub.2 by NMR spectroscopy.

Example 13: Synthesis of Et.sub.2Sn(Pyrrolodinyl).sub.2

[0091] Under inert atmosphere, a 1 L round bottom flask was charged with 5.3 mL of pyrrolidene and 200 mL of anhydrous pentane. Once the reaction flask was placed in the ice bath, 25 mL of 2.5M Butyllithium solution in hexane were slowly added to the reaction flask while stirring vigorously. The reaction mixture was then stirred at room temperature for 1 h, and then placed back into the ice bath. The solution of 7.7 g of Et.sub.2SnCl.sub.2 in 100 mL of anhydrous pentane and 20 mL of anhydrous benzene was then added to the flask, and the reaction mixture was left stirring at room temperature overnight. Filtration was carried out to remove any solid products. The solvent was removed under reduced pressure from the filtrate. Final product was purified via vacuum distillation. As shown in FIG. 13, the product is confirmed to be Et.sub.2Sn(Pyrrolodinyl).sub.2 by NMR spectroscopy.

Example 14: Synthesis of Me.sub.2Sn(NMe.sub.2).sub.2

[0092] Under inert atmosphere, a 1 L flask was charged with 25 mL of 2.5M Butyllithium solution in hexane and 400 mL of anhydrous hexane. The reaction flask was placed in the ice bath and Me.sub.2NH gas was passed through the solution until a white slushy solution was obtained (ca. 15 min). Afterwards the reaction mixture was stirred for 1 h at room temperature. The reaction flask was placed in the ice bath again and the solution of 6.7 g of Me.sub.2SnCl.sub.2 in 100 mL of anhydrous benzene was slowly added, and the mixture was stirred overnight at room temperature. Filtration was carried out to remove any solid products. The solvent was removed under reduced pressure from the filtrate. The liquid product was purified by distillation under reduced pressure. As shown in FIG. 14, the product is confirmed to be Me.sub.2Sn(NMe.sub.2).sub.2 by NMR spectroscopy.

Example 15: Synthesis of tBuSn(NMe.sub.2).sub.3

[0093] Sn(NMe.sub.2).sub.4+tBuLi.fwdarw.tBuSn(NMe.sub.2).sub.3+LiNMe.sub.- 2 Formula IV

[0094] Under inert atmosphere, a 5 L round bottom flask was charged with 100 mL of Sn(NMe.sub.2).sub.4 and ca. 3 L of anhydrous hexane. The mixture was stirred using a mechanical stirrer, and placed in the ethylene-glycol bath at -15.degree. C. In the glovebox, a 1 L flask was loaded with 200 mL of 1.7M tert-butyllithium solution in anhydrous hexane, and ca. 200 mL of anhydrous hexane. The tBuLi solution was then slowly added to the reaction flask. The reaction mixture was stirred at room temperature for 3 h. The stirring was then stopped, and salts were left to precipitate out of the reaction mixture overnight. The liquid was cannulated into another 5 L round bottom flask. The solvents were removed via distillation, and 62 g of the final product were isolated by distillation under reduced pressure (120.degree. C., 6.2.times.10.sup.-2 Torr). As shown in FIG. 15, the product was confirmed to be tBuSn(NMe.sub.2).sub.3 by NMR spectroscopy. 90% tBuSn(NMe.sub.2).sub.3 and 10% tBu.sub.2Sn(NMe.sub.2).sub.2.

[0095] Similarly, complexes of the type RSn(NEtMe).sub.3 can be synthesized following the above procedure by reacting Sn(NEtMe).sub.4 with RLi, where R=Et, iPr, iBu, nPr

Sn(NEtMe).sub.4+RLi.fwdarw.RSn(NEtMe).sub.3+LiNEtMe Formula V [0096] where R=Et, iPr, iBu, nPr

Example 16: Sn(NEtMe).sub.4+EtLi.fwdarw.EtSn(NEtMe).sub.3+LiNEtMe

[0097] Under inert atmosphere, a 5 L round bottom flask was charged with 100 g of Sn(NEtMe).sub.4 and ca. 2.5 L of anhydrous hexane. The mixture was stirred using a mechanical stirrer, and placed in the ethylene-glycol bath at -15.degree. C. In the glovebox, a 1 L flask was loaded with 655 mL of 0.5 M ethyllithium solution in anhydrous benzene, and ca. 200 mL of anhydrous benzene. The EtLi solution was then slowly added to the reaction flask. The reaction mixture was stirred at room temperature for 3 h. The stirring was then stopped, and salts were left to precipitate out of the reaction mixture overnight. The liquid was cannulated into another 5 L round bottom flask. The solvents were removed via distillation, and the final product isolated via distillation under reduced pressure.

Example 17: Sn(NEtMe).sub.4+iPrLi.fwdarw.iPrSn(NEtMe).sub.3+LiNEtMe

[0098] Under inert atmosphere, a 5 L round bottom flask was charged with 100 g of Sn(NEtMe).sub.4 and ca. 2.5 L of anhydrous hexane. The mixture was stirred using a mechanical stirrer, and placed in the ethylene-glycol bath at -15.degree. C. In the glovebox, a 1 L flask was loaded with 468 mL of 0.7 M isopropyllithium solution in anhydrous pentane, and ca. 200 mL of anhydrous hexane. The iPrLi solution was then slowly added to the reaction flask. The reaction mixture was stirred at room temperature for 3 h. The stirring was then stopped, and salts were left to precipitate out of the reaction mixture overnight. The liquid was cannulated into another 5 L round bottom flask. The solvents were removed via distillation, and the final product isolated via distillation under reduced pressure.

Example 18: Sn(NEtMe).sub.4+iBuLi.fwdarw.iBuSn(NEtMe).sub.3+LiNEtMe

[0099] Under inert atmosphere, a 5 L round bottom flask was charged with 100 g of Sn(NEtMe).sub.4 and ca. 3 L of anhydrous hexane. The mixture was stirred using a mechanical stirrer, and placed in the ethylene-glycol bath at -15.degree. C. In the glovebox, a 1 L flask was loaded with 193 mL of 1.7 M isobutyllithium solution in anhydrous heptane, and ca. 200 mL of anhydrous hexane. The iBuLi solution was then slowly added to the reaction flask. The reaction mixture was stirred at room temperature for 3 h. The stirring was then stopped, and salts were left to precipitate out of the reaction mixture overnight. The liquid was cannulated into another 5 L round bottom flask. The solvents were removed via distillation, and the final product isolated via distillation under reduced pressure.

Example 19: Sn(NEtMe).sub.4+nPrLi.fwdarw.nPrSn(NEtMe).sub.3+LiNEtMe

[0100] Under inert atmosphere, a 5 L round bottom flask was charged with 100 g of Sn(NEtMe).sub.4 and ca. 3 L of anhydrous hexane. The mixture was stirred using a mechanical stirrer, and placed in the ethylene-glycol bath at -15.degree. C. In the glovebox, a 1 L flask was loaded with 193 mL of 1.7 M n-propyllithium solution in anhydrous heptane, and ca. 200 mL of anhydrous hexane. The nPrLi solution was then slowly added to the reaction flask. The reaction mixture was stirred at room temperature for 3 h. The stirring was then stopped, and salts were left to precipitate out of the reaction mixture overnight. The liquid was cannulated into another 5 L round bottom flask. The solvents were removed via distillation, and the final product isolated via distillation under reduced pressure.

Example 20: Comparative Reactivity Tests

[0101] a) [0102] To Sn(NMe.sub.2).sub.4 was added water. Reaction took place spontaneously. The clear Sn(NMe.sub.2).sub.4 turned cloudy and a white solid formed. [0103] To Sn(NMe.sub.2).sub.4 was added anhydrous ethanol. The mixture warmed up and NMR confirmed the complete replacement of --NMe.sub.2 group by --OEt group. More ethanol was added and NMR was carried out to further confirm the completion of the reaction (FIG. 16). b) [0104] To Me.sub.3SnNMe.sub.2 was added water. NMR indicated that no reaction took place. The mixture was heated at 50.degree. C. for 1 hr. NMR showed that reaction took place (FIG. 17). [0105] To Me.sub.3SnNMe.sub.2 was added anhydrous methanol NMR indicated that no reaction took place. The mixture was heated at 50.degree. C. for 1 hr. The clear solution turned cloudy. NMR confirmed that reaction had taken place. c) [0106] To Bu.sub.2Sn(OAc).sub.2 was added water. Reaction took place spontaneously. The clear Bu.sub.2Sn(OAc).sub.2 turned cloudy and a white solid formed. [0107] To Bu.sub.2Sn(OAc).sub.2 was added anhydrous methanol. NMR showed that no reaction took place (FIG. 18). d) [0108] To Bu.sub.2Sn(OMe).sub.2 was added water. Reaction took place spontaneously. The clear Bu.sub.2Sn(OMe).sub.2 turned cloudy and a white solid formed. [0109] To Bu.sub.2Sn(OMe).sub.2 was added acetic acid. NMR shows that some --OMe group has been replaced by --OAc group (FIG. 19). e) [0110] To Bu.sub.2Sn(NMe.sub.2).sub.2 was added water. Reaction took place spontaneously. The clear Bu.sub.2Sn(NMe.sub.2).sub.2 turned cloudy and a white solid formed. [0111] To Bu.sub.2Sn(NMe.sub.2).sub.2 was added Methanol. NMR shows that some --NMe.sub.2 group has been replaced by --OMe group (FIG. 20).

Example 21: Thermal Stability Tests

[0112] Thermal stability tests of compounds of Formula I were carried out in sealed glass ampoules, which were heated at a set temperature for 1 hr. NMR was performed to see if there had been any thermal decomposition. A visual check was also used, looking for solid formation after heat treatment. FIG. 21 shows NMR of Me.sub.4Sn before and after heating at 200.degree. C. There was no significant change after heating at 200.degree. C. for 1 hr based on both NMR and visual check.

[0113] FIG. 22 shows NMR of Et.sub.2Sn(NMe.sub.2).sub.2 before and after heating at 200.degree. C. There was no significant change after heating at 200.degree. C. for 1 hr based on both NMR and visual check.

[0114] FIG. 23 shows NMR of Me.sub.2Sn(NMe.sub.2).sub.2 before and after heating at 150.degree. C. There was no significant change after heating at 150.degree. C. for 24 hr based on both NMR and visual check.

[0115] FIG. 24 shows the decomposition temperature of representative compounds of Formula I.

[0116] These results demonstrate that compounds of Formula I are thermally stable, showing that delivery of the compound to the deposition chamber will take place without observable decomposition occurring.

Multistage Distillation

[0117] Various forms of multistage distillation are known in the chemical manufacturing industry, but have not been employed for the purification of organometallic materials that include tetramethyl tin or other compounds of Formula I.

[0118] As illustrated by the schematic shown in FIG. 25, multiple-effect or multistage distillation (MED) is a distillation process often used for sea water desalination. It consists of multiple stages or "effects". (In schematic in FIG. 25 the first stage is at the top. Pink areas are vapor, lighter blue areas are liquid feed material. The turquoise represents condensate. It is not shown how feed material enters other stages than the first, however those should be readily understood. F--feed in. S--heating steam in. C--heating steam out. W--purified material (condensate) out. R--waste material out. O--coolant in. P--coolant out. VC is the last-stage cooler.) In each stage the feed material is heated by steam in tubes. Some of the feed material evaporates, and this steam flows into the tubes of the next stage, heating and evaporating more of the distillate. Each stage essentially reuses the energy from the previous stage.

[0119] The plant can be seen as a sequence of closed spaces separated by tube walls, with a heat source at one end and a heat sink at the other. Each space consists of two communicating subspaces, the exterior of the tubes of stage n and the interior of the tubes in stage n+1. Each space has a lower temperature and pressure than the previous space, and the tube walls have intermediate temperatures between the temperatures of the fluids on each side. The pressure in a space cannot be in equilibrium with the temperatures of the walls of both subspaces; it has an intermediate pressure. As a result, the pressure is too low or the temperature too high in the first subspace, and the feed material evaporates. In the second subspace, the pressure is too high or the temperature too low, and the vapor condenses. This carries evaporation energy from the warmer first subspace to the colder second subspace. At the second subspace the energy flows by conduction through the tube walls to the colder next space.

[0120] As shown by Table 2 below, purification of SnMe.sub.4 by multistage distillation results in a compound having significantly lower levels of impurities compared to that purified by conventional means.

TABLE-US-00001 TABLE 2 Single Single Average Delta Multi stage stage single vs Single Multistage option 1 option 2 stage ppb % Element ppb ppb ppb ppb difference Ag 5 10 5 7.5 -33% Al 5 40 20 30 -83% As 50 50 100 75 -33% Au 10 10 5 7.5 33% B 40 70 10 40 0% Be 1 1 5 3 -67% Bi 1 2 5 3.5 -71% Ca 80 270 100 185 -57% Cd 1 1 5 3 -67% Co 0 1 5 3 -100% Cr 2 3 5 4 -50% Cu 4 12 5 8.5 -53% Fe 11 31 10 20.5 -46% Hf 0 0 5 2.5 -100% K 30 30 20 25 20% Li 2 5 50 27.5 -93% Mg 8 35 50 42.5 -81% Mn 0.5 0.5 5 2.75 -82% Mo 0.5 1.8 5 3.4 -85% Na 200 200 100 150 33% Nb 0.5 0.5 5 2.75 -82% N 150 150 5 77.5 94% Pb 0.4 2.1 2 2.05 -80% Pd 0.5 0.5 5 2.75 -82% Pt 2 2 5 3.5 -43% Rb 1 1 5 3 -67% Re 0.5 0.5 5 2.75 -82% Rh 0.5 0.5 5 2.75 -82% Ru 0.5 0.5 5 2.75 -82% Sb 20 120 250 185 -89%

[0121] The use of the terms "a" and "an" and "the" and similar referents in the context of describing the invention (especially in the context of the following claims) are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. The terms "comprising," "having," "including," and "containing" are to be construed as open-ended terms (i.e., meaning "including, but not limited to,") unless otherwise noted. The term "connected" is to be construed as partly or wholly contained within, attached to, or joined together, even if there is something intervening.

[0122] The recitation of ranges of values herein are merely intended to serve as a shorthand method of referring individually to each separate value falling within the range, unless otherwise indicated herein, and each separate value is incorporated into the specification as if it were individually recited herein.

[0123] All methods described herein can be performed in any suitable order unless otherwise indicated herein or otherwise clearly contradicted by context. The use of any and all examples, or exemplary language (e.g., "such as") provided herein, is intended merely to better illuminate embodiments of the invention and does not impose a limitation on the scope of the invention unless otherwise claimed. The various embodiments and elements can be interchanged or combined in any suitable manner as necessary.

[0124] No language in the specification should be construed as indicating any non-claimed element as essential to the practice of the invention.

[0125] It will be apparent to those skilled in the art that various modifications and variations can be made to the present invention without departing from the spirit and scope of the invention. There is no intention to limit the invention to the specific form or forms disclosed, but on the contrary, the intention is to cover all modifications, alternative constructions, and equivalents falling within the spirit and scope of the invention, as defined in the appended claims. Thus, it is intended that the present invention cover the modifications and variations of this invention provided they come within the scope of the appended claims and their equivalents.

* * * * *


uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed