Apparatus and method for removing photoresist from a substrate

Han; Donggyun ;   et al.

Patent Application Summary

U.S. patent application number 12/230293 was filed with the patent office on 2009-03-12 for apparatus and method for removing photoresist from a substrate. Invention is credited to Sangjun Choi, Donggyun Han, Woosung Han, Changki Hong, Hyungho Ko, Hyosan Lee.

Application Number20090065032 12/230293
Document ID /
Family ID33536298
Filed Date2009-03-12

United States Patent Application 20090065032
Kind Code A1
Han; Donggyun ;   et al. March 12, 2009

Apparatus and method for removing photoresist from a substrate

Abstract

An apparatus and method for removing photoresist from a substrate, which includes treating the photoresist with a first reactant to cause swelling, cracking or delamination of the photoresist, treating the photoresist with a second reactant to chemically alter the photoresist, and subsequently removing the chemically altered photoresist with a third reactant. In one example, the first reactant is supercritical carbon dioxide (SCCO.sub.2), the second reactant is ozone vapor, and the third reactant is deionized water.


Inventors: Han; Donggyun; (Yongin-si, KR) ; Han; Woosung; (Seoul, KR) ; Hong; Changki; (Seongnam-si, KR) ; Choi; Sangjun; (Seoul, KR) ; Ko; Hyungho; (Seoul, KR) ; Lee; Hyosan; (Suwon-si, KR)
Correspondence Address:
    HARNESS, DICKEY & PIERCE, P.L.C.
    P.O. BOX 8910
    RESTON
    VA
    20195
    US
Family ID: 33536298
Appl. No.: 12/230293
Filed: August 27, 2008

Related U.S. Patent Documents

Application Number Filing Date Patent Number
10712775 Nov 14, 2003 7431855
12230293

Current U.S. Class: 134/61
Current CPC Class: H01L 21/02043 20130101; H01L 21/31138 20130101; Y10S 438/906 20130101
Class at Publication: 134/61
International Class: B32B 38/10 20060101 B32B038/10

Foreign Application Data

Date Code Application Number
Jun 26, 2003 KR 2003-0042133

Claims



1.-30. (canceled)

31. An apparatus for removing photoresist from a substrate, comprising: at least one chamber for treating the photoresist with a first reactant to cause swelling, cracking or delamination of the photoresist, for treating the photoresist with a second reactant to chemically alter the photoresist, for rinsing the substrate, for drying the substrate and for holding the substrate; and transfer means for transferring the substrate between chambers.

32. The apparatus of claim 31, said apparatus including a single chamber for treating the photoresist with the first reactant to cause swelling, cracking or delamination of the photoresist, and for treating the photoresist with the second reactant to chemically alter the photoresist.

33. The apparatus of claim 31, said apparatus including a separate chamber for treating the photoresist with the first reactant to cause swelling, cracking or delamination of the photoresist, and for treating the photoresist with the second reactant to chemically alter the photoresist.

34. The apparatus of claim 31, said apparatus including a separate chamber for each operation.

35. The apparatus of claim 31, said transfer means including a robotic arm.

36. The apparatus of claim 31, wherein the photoresist is formed by ion implantation.

37. The apparatus of claim 36, wherein the ion implantation was performed at a dose of 3.times.10.sup.15 ions/cm.sup.2 or higher.

38. The apparatus of claim 31, wherein the first reactant is supercritical carbon dioxide (SCCO.sub.2).

39. The apparatus of claim 38, wherein the supercritical carbon dioxide (SCCO.sub.2) is at a temperature of 100-150.degree. C. and a pressure of 150-200 bar.

40. The apparatus of claim 31, wherein the second reactant is an ozone-based reactant.

41. The apparatus of claim 40, wherein the ozone-based reactant is ozone vapor.

42. The apparatus of claim 41, wherein the ozone vapor is at a temperature of 105-115.degree. C. and a pressure of 60-80 kPa.

43. The apparatus of claim 41, wherein the concentration of the ozone in an ozone generator is 90,000 ppm or greater.

44. The apparatus of claim 31, wherein the rinse is a deionized water rinse.

45. The apparatus of claim 31, wherein the first reactant is supercritical carbon dioxide (SCCO.sub.2) and the second reactant is ozone, said single chamber including a heater jacket, a carbon dioxide (CO.sub.2) source, a supercritical carbon dioxide (SCCO.sub.2) generator, a supercritical carbon dioxide (SCCO.sub.2) circulator, a carbon dioxide (CO.sub.2) feedback, an ozone gas generator, a vapor generator, and an exhaust.

46. The apparatus of claim 45, wherein the supercritical carbon dioxide (SCCO.sub.2) generator includes a carbon dioxide (CO.sub.2) pressure pump and a carbon dioxide (CO.sub.2) heater.

47. The apparatus of claim 31, wherein the first reactant is supercritical carbon dioxide (SCCO.sub.2) and a first of the separate chambers includes a heater jacket, a carbon dioxide (CO.sub.2) source, a supercritical carbon dioxide (SCCO.sub.2) generator, a supercritical carbon dioxide (SCCO.sub.2) circulator, and a carbon dioxide (CO.sub.2) feedback.

48. The apparatus of claim 47, wherein the supercritical carbon dioxide (SCCO.sub.2) generator includes a carbon dioxide (CO.sub.2) pressure pump and a carbon dioxide (CO.sub.2) heater.

49. The apparatus of claim 47, wherein the second reactant is an ozone-based reactant, and a first of the separate chambers includes a heater jacket, an ozone gas generator, a vapor generator, and an exhaust.

50. The apparatus of claim 49, wherein the ozone-based reactant is ozone vapor.
Description



BACKGROUND OF THE INVENTION

[0001] Photoresist is an organic polymer which becomes soluble when exposed to light. Photoresist is used in many applications within various industries, such as the semiconductor, biomedical engineering, holographic, electronics, and nanofabrication industries. As an example, photoresist is used to help define circuit patterns during chip fabrication in the semiconductor industry. The use of photoresist prevents etching or plating in the area the photoresist covers (this is also know as resist).

[0002] The removal of photoresist, commonly known as "stripping" is preceded by plasma ashing, etching, or other manufacturing steps. These steps can degrade or carbonize the photoresist and leave a photoresist reside that is difficult to remove by current stripping methods. In particular, ion implantation with a dose of 3.times.10.sup.15 ions/cm.sup.2 or higher creates a photoresist exhibiting a hard outer crust covering a soft core. FIG. 1A illustrates a cross-sectional view and FIG. 1B illustrates a top view of a photoresist exhibiting a hard outer crust 40' caused by ion implantation. As illustrated in FIGS. 1A and 1B, the hard outer 40' crust may be on the order of 200 to 300 .ANG. thick.

[0003] FIG. 2 is a cross-sectional view illustrating the ion implantation step. FIG. 2 illustrates a substrate 110, a gate electrode 10, an insulation film 11, and n-region of a source/drain region 20, a spacer 30, a photoresist pattern 40, and a well 50. When the photoresist pattern 40 is exposed to ion implantation 45, a hard outer crust 40' is formed on the photoresist pattern 40.

[0004] Residue may also be a problem. FIG. 3A illustrates a cross-section view and FIG. 3B illustrates a top view of a photoresist exhibiting residue after an etching process or a chemical mechanical polishing (CMP) process. FIG. 3A illustrates a substrate 110, an etched player 60, a photoresist pattern 70, and a hard outer crust 70', which is formed when the photoresist pattern 70 is exposed to ion implantation 75, FIGS. 3A and 3B illustrate residue 80 and an organic defect 90.

[0005] Conventionally, photoresist has been removed by a plasma ashing process followed by a stripping process. The plasma ashing process utilizes O.sub.2 plasma which may cause damage to the sublayer and thereby degrade the electrical performance of the underlying semiconductor device. The stripping process requires high quantities of toxic and/or corrosive chemicals to remove photoreactive polymers or photoresist from chip surfaces.

[0006] In order to overcome these problems, other stripping methods have been developed including organic and/or inorganic stripping solvents with supercritical carbon dioxide (SCCO.sub.2) or ozone (O.sub.3) gas. Techniques which remove resist using SCCO.sub.2 utilize a densified CO.sub.2 cleaning composition which includes CO.sub.2 and at least one cosolvent such as a surfactant, alcohol, or amine. However, the methods utilizing SCCO.sub.2 and a cosolvent are incapable of dissolving a hard outer crust of a photoresist caused by ion implantation.

[0007] A second method for removing photoresist or other organic material from a substrate such as a semiconductor wafer includes partially immersing the substrate in a solvent, for example, deionized water, in a reaction chamber, injecting an oxidizing gas, for example, ozone, into the reaction chamber and rotating or otherwise moving the substrate through the solvent to coat a thick film of solvent over the organic component on the substrate surface and expose the solvent-coated component to the ozone gas to remove the organic material from the surface. Again, the resist removal techniques utilizing ozone are incapable of dissolving a hard outer crust caused by an ion implantation step. FIG. 4 illustrates a failure of a resist removal techniques using ozone to remove a hard outer crust of the photoresist caused by ion implantation with a dose of 3.times.10.sup.15 ions/cm.sup.2 or higher.

SUMMARY OF THE INVENTION

[0008] In exemplary embodiments, the present invention is directed to a method of removing photoresist from a substrate, which includes treating the photoresist with a first reactant to cause swelling, cracking or delamination of the photoresist, treating the photoresist with a second reactant to chemically alter the photoresist, and subsequently removing the chemically altered photoresist with a third reactant.

[0009] In exemplary embodiments, the present invention is directed to a method of removing photoresist from a substrate, which includes treating the photoresist with supercritical carbon dioxide (SCCO.sub.2), treating the photoresist with an ozone-based reactant, and removing the photoresist with deionized water.

[0010] In exemplary embodiments, the present invention is directed to a method of removing photoresist from a substrate, which includes loading the substrate into a chamber, injecting a first reactant into the chamber and converting the first reactant to supercritical condition, maintaining contact between the substrate and the supercritical first reactant, depressurizing the chamber, injecting a second reactant into the chamber, maintaining contact between the substrate and the second reactant, purging the chamber and unloading the substrate, removing the photoresist, and drying the substrate.

[0011] In exemplary embodiments, the present invention is directed to an apparatus for removing photoresist from a substrate, which includes at least one chamber for treating the photoresist with a first reactant to cause swelling, cracking or delamination of the photoresist, for treating the photoresist with a second reactant to chemically alter the photoresist, for rinsing the substrate, for drying the substrate and for holding the substrate and a transfer device for transferring the substrate between chambers.

[0012] In exemplary embodiments, the present invention may also be used to remove normal photoresist in addition to the hard outer crust. Still further, exemplary embodiments of the present invention do not damage the underlying photoresist. Still further, exemplary embodiments of the present invention do not use organic contaminants or leave an organic residue.

BRIEF DESCRIPTION OF THE DRAWINGS

[0013] The present invention will become more fully understood from the detailed description given below and the accompanying drawings, which are given for purposes of illustration only, and thus do not limit the invention.

[0014] FIG. 1A illustrates a cross-sectional view and FIG. 1B illustrates a top view of a photoresist exhibiting a hard outer crust 40' caused by ion implantation.

[0015] FIG. 2 is a cross-sectional view illustrating a conventional ion implantation step.

[0016] FIG. 3A illustrates a cross-section view and FIG. 3B illustrates a top view of a photoresist exhibiting residue after a conventional etching process or a conventional chemical mechanical polishing (CMP) process.

[0017] FIG. 4 illustrates the failure of conventional resist removal techniques using ozone to remove a hard outer crust of the photoresist caused by ion implantation with a dose of 3.times.10.sup.15 ions/cm.sup.2 or higher.

[0018] FIG. 5 illustrates an apparatus for removing photoresist from a substrate in accordance with an exemplary embodiment of the present invention.

[0019] FIG. 6 illustrates an SCCO.sub.2 treatment chamber of FIG. 1 and associated elements in accordance with an exemplary embodiment of the present invention.

[0020] FIG. 7 illustrates the ozone vapor treatment chamber of FIG. 5 in an exemplary embodiment of the present invention.

[0021] FIG. 8A illustrates a flow chart of an exemplary method of the present invention and FIG. 8B illustrates an exemplary pressure versus time graph for the flowchart of FIG. 8A.

[0022] FIG. 9A illustrates a flow chart of an exemplary embodiment of the present invention taking place in a monolithic chamber and FIG. 9B illustrates the corresponding pressure versus time plot.

[0023] FIG. 10 illustrates a phase diagram for CO.sub.2, illustrating the pressure versus temperature region at which CO.sub.2 becomes supercritical.

[0024] FIG. 11 illustrates a method of the present invention in accordance with another exemplary embodiment.

DETAILED DESCRIPTION OF THE EXEMPLARY EMBODIMENTS

[0025] FIG. 5 illustrates an apparatus for removing photoresist from a substrate in accordance with an exemplary embodiment of the present invention. As illustrated in FIG. 5, the apparatus includes at least one chamber 100. At least one substrate is provided in at least one chamber 100. The substrate 110 may be provided via a cassette 120. The apparatus may also include a transfer chamber 200, an SCCO.sub.2 treatment chamber 300, an ozone vapor treatment chamber 400, a rinse (or bath) chamber 500, and drying chamber 600. The substrate 110 may be moved from chambers 100 to 600 via a mechanical or electromechanical device, such as robotic arm 210.

[0026] FIG. 6 illustrates the SCCO.sub.2 treatment chamber 300 of FIG. 5 and associated elements in accordance with an exemplary embodiment of the present invention. FIG. 6 illustrates the SCCO.sub.2 treatment chamber 300, a wafer plate 301, a heater jacket 305, a CO.sub.2 cylinder 310, a CO.sub.2 inlet conduit 312, a CO.sub.2 pressure pump 314, and a CO.sub.2 heater 316. FIG. 6 also illustrates an SCCO.sub.2 generator 317, one or more CO.sub.2 control valves 318, 328, 338, 348, an exhausted CO.sub.2 reservoir 320, an exhausted CO.sub.2 outlet conduit 322, a circulation conduit 332, a circulation pump 334, and a CO.sub.2 return 342.

[0027] FIG. 7 illustrates the ozone vapor treatment chamber 400 of FIG. 5 in an exemplary embodiment of the present invention. FIG. 7 illustrates the ozone vapor treatment chamber 400, a wafer plate 401, a heater jacket 405, an ozone gas generator 410, an ozone gas inlet conduit 412, and an ozone control valve 418. FIG. 7 further illustrates a vapor generator 420, a vapor inlet conduit 422, and a vapor control valve 428. The ozone vapor treatment chamber 400 further includes an exhausted gas reservoir 430, an exhausted gas outlet conduit 432, and an exhausted gas control valve 438.

[0028] FIG. 8A illustrates a flow chart of an exemplary method of the present invention and FIG. 8B illustrates a pressure versus time graph for the flowchart of FIG. 8A. At step 42, a substrate 110 is loaded in the SCCO.sub.2 treatment chamber 300. At step 44, CO.sub.2 is injected into the SCCO.sub.2 treatment chamber 300 and CO.sub.2 is converted to SCCO.sub.2. At step 46, the SCCO.sub.2 is maintained in contact with the substrate 110. At step 48, the SCCO.sub.2 treatment chamber 300 is depressurized and the wafer 110 is removed. At step 50, the substrate 110 is loaded into the ozone vapor treatment chamber 400 and at step 50, ozone vapor is injected into the ozone vapor treatment 400 under desired conditions. At step 54, the ozone vapor is maintained in contact with the substrate 110. In step 56, the ozone vapor chamber 400 is purged and the substrate 110 is removed. At step 58, the substrate 110 is moved to a rinse or bath chamber 500 for rinsing and at step 60, the substrate 110 is moved to the drying chamber 600 for drying.

[0029] Although FIG. 5 of the present application illustrates a multi-chamber apparatus, the teachings of the present invention may also be applied to a monolithic chamber apparatus.

[0030] FIG. 9A illustrates a flow chart of an exemplary embodiment of the present invention taking place in a monolithic chamber and FIG. 9B illustrates the corresponding pressure versus time plot.

[0031] As shown in FIG. 9A, in step 62, the substrate 110 is loaded into the monolithic chamber. In step 64, CO.sub.2 is injected into the monolithic chamber and converted to SCCO.sub.2. At step 66, the SCCO.sub.2 is maintained in contact with the substrate 110. At step 68, the monolithic chamber is depressurized and at step 70, ozone vapor is injected. At step 72, the ozone vapor is maintained in contact with the substrate 110 and in step 74, the monolithic chamber is purged and the substrate 110 is unloaded. Subsequently, as indicated in step 76 and 78, the substrate 110 may be rinsed and dried outside the monolithic chamber.

[0032] FIG. 10 illustrates a phase diagram for CO.sub.2, illustrating the pressure versus temperature region at which CO.sub.2 becomes supercritical.

[0033] FIG. 11 illustrates a method of the present invention in accordance with another exemplary embodiment. As illustrated at step 802, a substrate 110 is placed in the pressure chamber. At step 804, the pressure chamber is sealed. At step 806, the pressure chamber is pressurized with CO.sub.2 and at step 808, the CO.sub.2 is converted to SCCO.sub.2 by increasing the pressure and temperature. For CO.sub.2 to become critical, the pressure must be above 73 bar and the temperature above 31.degree. C., as illustrated in FIG. 10. At step 810, the SCCO.sub.2 is maintained in contact with the substrate 110. Step 810 causes swelling, cracking and/or delamination of the photoresist on the substrate 110. In an exemplary embodiment, the temperature is maintained about 100.degree. C. and the pressure is maintained about 150 bar. At step 812, the chamber is depressurized to normal atmospheric pressure and vented. At step 814, the substrate 110 is transferred to a second pressure chamber and at step 816 that pressure chamber is sealed. At step 818, the second pressure chamber is pressurized to elevated pressure. In an exemplary embodiment, the pressure is above 60 kPa.

[0034] Further, at step 818, ozone gas and water vapor are provided at elevated temperature. In an exemplary embodiment, the ozone gas is provided at a temperature of about 105.degree. C. and water vapor is provided at a temperature of about 115.degree. C. At step 820, the reaction is maintained until the photoresist is converted into a water-soluble product and at step 822, the second chamber is depressurized to normal atmosphere and vented. At step 824, the substrate is rinsed and the water-soluble product removed.

[0035] An exemplary embodiment of the method of the present invention includes three steps. The first step is a treatment with a first reactant, to cause swelling, cracking, or delamination of a photoresist, the second step is treatment with a second reactant to chemically alter the photoresist, and the third step is removing the chemically altered photoresist with a third reactant. In an exemplary embodiment, the first reactant is SCCO.sub.2, the second reactant is an ozone-based reactant, and the third reactant is deionized water. In other exemplary embodiments, the ozone-based reactant is ozone vapor, in another exemplary embodiment, highly concentrated ozone vapor. In other exemplary embodiments, the ozone vapor has a concentration equal to or greater than 90,000 ppm. In other exemplary embodiments, the ozone-based reactant is ozone gas mixed with water vapor

[0036] Another exemplary embodiment of the method of the present invention includes three steps. The first step is a treatment with SCCO.sub.2, the second step is treatment with an ozone-based reactant, and the third step is a rinsing step. For each of these three steps, exemplary process conditions may be maintained. With respect to the SCCO.sub.2 treatment step, the temperature within the chamber may be maintained between 100 and 150.degree. C. and the pressure between 150 and 200 bars. With respect to the highly saturated ozone vapor treatment statement, the temperature of the chamber may be maintained at 105.degree. C. and the temperature of the vapor at 115.degree. C. In an exemplary embodiment, a temperature gap between the chamber and the vapor is in the range of about 10.degree. C. to 15.degree. C. and a pressure gap is between 60 kPa and 80 kPa. It is noted that a pressure higher than 80 kPa may be maintained, as long as proper safety precautions are observed. With respect to the concentration of the ozone gas, in an exemplary embodiment, the concentration is 90,000 ppm or greater at the ozone generator.

[0037] It is noted that the arrangement of the apparatuses illustrated in FIGS. 5-7 is exemplary, and could be modified, to add, replace, or delete elements, as would be known to one of ordinary skill in the art. It is further noted that the methods illustrated in FIGS. 8A, 9A, and 11 are also exemplary, and various steps could be added, replaced, or deleted, as would also be known to one of ordinary skill in the art.

[0038] The invention being thus described, it will be obvious that the same may be varied in many ways. Such variations are not to be regarded as a departure from the spirit and scope of the invention, and all such modifications as would be obvious to one skilled in the art are intended to be included within the scope of the following claims.

* * * * *


uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed