Chemical dispense system

Lin; Y. Sean

Patent Application Summary

U.S. patent application number 11/414132 was filed with the patent office on 2007-11-29 for chemical dispense system. This patent application is currently assigned to Applied Materials, Inc.. Invention is credited to Y. Sean Lin.

Application Number20070272327 11/414132
Document ID /
Family ID38748430
Filed Date2007-11-29

United States Patent Application 20070272327
Kind Code A1
Lin; Y. Sean November 29, 2007

Chemical dispense system

Abstract

The performance of photolithography chemical dispense apparatus is improved by placing the chemical filter before the dispense pump and providing a separate pressure control for the filter. This approach allows the system to utilize both an optimal dispense rate and an optimal filtration rate. A chemical source can have a first pressure source applied, and a second pressure source applied where necessary to control the filtration rate. The pressures can be optimized during calibration, and optimal pressures can be maintained using pressure sensors that monitor the pressures. A controller can model the behavior of at least the dispense pressure during a dispense cycle and can calculate an adjustment function to be applied to the dispense pump during a subsequent dispense cycle in order to optimize the dispense pressure (and hence flow rate) during each cycle.


Inventors: Lin; Y. Sean; (Irvine, CA)
Correspondence Address:
    TOWNSEND AND TOWNSEND AND CREW, LLP
    TWO EMBARCADERO CENTER
    EIGHTH FLOOR
    SAN FRANCISCO
    CA
    94111-3834
    US
Assignee: Applied Materials, Inc.
Santa Clara
CA

Family ID: 38748430
Appl. No.: 11/414132
Filed: April 27, 2006

Current U.S. Class: 141/286
Current CPC Class: G03F 7/70991 20130101; G03F 7/162 20130101
Class at Publication: 141/286
International Class: B65B 1/04 20060101 B65B001/04

Claims



1. A method of dispensing a flow of photolithography chemical onto a substrate, comprising: directing a flow of photolithography chemical into a buffer vessel for providing a continuous source of the photolithography chemical for a dispense cycle; applying a flow of pressurized fluid to the buffer vessel in order to push the photolithography chemical out of the buffer vessel to a chemical filter; directing the photolithography chemical through a chemical filter at substantially a predetermined flow rate; directing the filtered photolithography chemical to a dispense pump operable to direct the filtered photolithography chemical out of the dispense pump at a controlled flow rate using a selected flow rate function; and dispensing the photolithography chemical onto the substrate at substantially the controlled flow rate.

2. A method according to claim 1, wherein: directing the photolithography chemical through a chemical filter includes suctioning the photolithography chemical through the filter using the dispense pump.

3. A method according to claim 1, wherein: the controled flow rate is substantially an optimal dispense flow rate.

4. A method according to claim 1, wherein: the photolithography chemical is at least one of a bottom anti-reflective coating (BARC), top antireflective coating (TARC), spin on dielectric (SOD), spin on polymer (SOP), and top coat (TC).

5. A method of dispensing a selected flow of photolithography chemical onto a substrate, comprising: directing a flow of the photolithography chemical through a chemical filter at a preselected flow rate; directing the filtered flow of the photolithography chemical through a dispense pump in order to direct the filtered flow of the photolithography chemical to a dispense nozzle at a controlled flow rate using a selected flow rate function; monitoring a variation in pressure of the photolithography chemical adjacent the dispense nozzle while dispensing the photolithography chemical onto the substrate; determining dispense adjustments for at least two values of the monitored pressure variation; and adjusting the operation of the dispense pump for a subsequent substrate according to the determined dispense adjustments.

6. A method according to claim 5, wherein: directing a flow of the photolithography chemical through a chemical filter directs the flow at substantially an optimal filtration rate.

7. A method according to claim 5, wherein: directing the filtered flow of the photolithography chemical through a dispense pump directs the photolithography chemical out of the dispense pump at a controlled flow rate that is substantially an optimal dispense flow rate function.

8. A system for dispensing a flow of photolithography chemical onto a substrate, comprising: a buffer vessel configured to receive a flow of photolithography chemical and provide a continuous source of photolithography chemical during a dispense process; a pressure source operable to apply a flow of pressurized fluid to the buffer vessel in order to provide the photolithography chemical at a controlled pressure; a chemical filter configured to receive and filter the photolithography chemical at substantially a preselected flow rate; a dispense pump operable to receive the filtered photolithography chemical at substantially the preselected flow rate and direct the filtered photolithography chemical out of the dispense pump at a controlled flow rate using a selected flow rate function; and a nozzle for receiving the filtered photolithography chemical and dispensing the filtered photolithography chemical onto the substrate at substantially the controlled flow rate.

9. A system according to claim 8, wherein: the preselected flow rate is substantially an optimal filtration rate.

10. A system according to claim 8, wherein: the controlled flow rate is substantially an optimal dispense flow rate.

11. A system according to claim 8, further comprising: a chemical source for supplying the photolithography chemical.

12. A system according to claim 11, further comprising: an additional pressure source operable to apply an additional flow of pressurized fluid to the chemical source in order to push the photolithography chemical out of the chemical source.

13. A system for dispensing a flow of photolithography chemical onto a substrate, comprising: a pressure source operable to apply a flow of pressurized fluid in order to provide photolithography chemical at a controlled pressure; a chemical filter configured to receive and filter the photolithography chemical at substantially a preselected flow rate; a dispense pump operable to receive the filtered photolithography chemical at substantially the preselected flow rate and direct a flow of filtered photolithography chemical out of the dispense pump at a controlled flow rate using a selected flow rate function; a nozzle for receiving the filtered photolithography chemical and dispensing the filtered photolithography chemical onto the substrate at substantially the controlled flow rate; and a system controller operable to monitor a variation in pressure of the filtered photolithography chemical near the nozzle while dispensing the photolithography chemical onto the substrate, the system controller being further operable to determine dispense adjustments for at least two values of the monitored pressure variation and adjust the operation of the dispense pump for a subsequent substrate according to the determined dispense adjustments.

14. A system according to claim 13, wherein: the preselected flow rate is substantially an optimal filtration rate.

15. A system according to claim 13, wherein: the controlled flow rate is substantially an optimal dispense rate.

16. A system according to claim 13, further comprising: a chemical source for supplying the photolithography chemical.

17. A system according to claim 16, further comprising: an additional pressure source operable to apply an additional flow of pressurized fluid to the chemical source in order to push the photolithography chemical out of the chemical source.

18. A system according to claim 17, further comprising: a buffer vessel configured to receive the flow of photolithography chemical from the chemical source and provide a continuous source of the photolithography chemical for a dispense process.

19. A system according to claim 13, wherein: the system controller is further operable to determine an adjustment function from the determined dispense adjustments and adjust the operation of the dispense pump for a subsequent substrate according to the adjustment function.

20. A computer program product stored on a computer-readable storage medium for dispensing a flow of photolithography chemical onto a substrate, the computer program product comprising: computer program code for directing a flow of the photolithography chemical through a chemical filter at a preselected flow rate; computer program code for directing the filtered flow of the photolithography chemical received from the filter from a dispense pump to a dispense nozzle at a controlled flow rate using a selected flow rate function; computer program code for monitoring a variation in pressure of the photolithography chemical near the dispense nozzle while dispensing the photolithography chemical onto the substrate; computer program code for determining dispense adjustments for at least two values of the monitored pressure variation; and computer program code for adjusting the operation of the dispense pump for a subsequent substrate according to the determined dispense adjustments.
Description



BACKGROUND OF THE INVENTION

[0001] The present invention relates generally to the field of substrate processing equipment. More particularly, the present invention relates to a method and apparatus for providing separate optimized pressure sources to control filtration and dispensation flow rates in a chemical dispense system.

[0002] Modern integrated circuits contain millions of individual elements that are formed by patterning the materials making up the integrated circuit to sizes that are small fractions of a micrometer. A technique typically used throughout the industry for forming such patterns is photolithography. A photolithography process sequence generally includes the deposition of one or more uniform photoresist (resist) layers on the surface of a substrate, followed by the drying and curing of the deposited layers, patterning of the substrate by exposing the photoresist layer to electromagnetic radiation suitable for modifying the exposed layer, and developing the patterned photoresist layer.

[0003] It is common in the semiconductor industry for many of the steps associated with the photolithography process to be performed in a multi-chamber processing system (e.g., a cluster tool) that has the capability to sequentially process semiconductor wafers in a controlled manner. One example of a cluster tool that is used to deposit (i.e., coat) and develop a photoresist material is commonly referred to as a track lithography tool.

[0004] Track lithography tools typically include a mainframe that houses multiple chambers (sometimes referred to as stations) dedicated to performing various tasks associated with pre- and post-lithography processing. There typically are both wet and dry processing chambers within track lithography tools. Wet chambers typically include coat and/or develop bowls, while dry chambers typically include thermal control units that house bake and/or chill plates. Track lithography tools also frequently include one or more pod/cassette mounting devices, such as an industry standard FOUP (front opening unified pod), to receive substrates from and return substrates to the clean room, multiple substrate transfer robots to transfer substrates between the various chambers/stations of the track tool, and an interface that allows the tool to be operatively coupled to a lithography exposure tool in order to transfer substrates into the exposure tool and receive substrates from the exposure tool after the substrates are processed within the exposure tool.

[0005] Over the years there has been a strong push within the semiconductor industry to shrink the size of semiconductor devices produced by such tools. The reduced feature sizes have caused the industry's tolerance to process variability to shrink, which in turn, has resulted in semiconductor manufacturing specifications having more stringent requirements for process uniformity and repeatability. Processing variables that may later affect tool performance are controlled so that all substrates in a lot or batch are processed the same way. During a photolithography process, for example, a substrate such as a semiconductor wafer is rotated on a spin chuck at a predetermined speed(s) while liquids and gases such as solvents, photoresist (resist), developer, and the like are dispensed onto the surface of the substrate. Typical photolithography chemicals include bottom an anti-reflective coating (BARC), a top antireflective coating (TARC), a spin on dielectric (SOD), a spin on polymer (SOP), a and top coat (TC).

[0006] As an example, an inadequate volume of photoresist dispensed during a coating operation will generally impact the uniformity and thickness of coatings formed on the substrate. Additionally, the dispense rate of the photoresist will generally impact film properties, including the lateral spreading of the resist in the plane of the substrate. Therefore, it is desirable to control both the volume and dispense rate of the photoresist applied to the substrate with respect to both the accuracy (e.g., total volume per dispense event) and repeatability (e.g., difference in volume per dispense over a series of dispense events) of the dispense process.

SUMMARY OF THE INVENTION

[0007] Systems and methods in accordance with embodiments of the present invention provide for separate control and optimization of the filtration and dispense rates in a photolithography chemical dispense apparatus. By placing the filter before the dispense pump, the filtration rate can be controlled separately from the dispense rate, allowing the dispense pump to dispense the chemical at an optimal dispense rate while the chemical is filtered at an optimal filtration rate.

[0008] In one embodiment, a feed pump is used with the dispense pump to push photolithography chemical through a chemical filter at a selected flow rate, such as near the optimal filtration rate. The feed pump can receive the chemical from a chemical source and/or a buffer vessel. The filtered chemical then is directed to a dispense pump, either directly or indirectly, which can direct the filtered chemical to a dispense nozzle at a second flow rate, such as near an optimal dispense flow rate. The chemical then can be dispensed onto the substrate at near the optimal dispense flow rate. The second flow rate can be a constant flow rate, or can be any other controlled flow rate, such as may correspond to a selected or determined dispense flow rate function that provides an optimal controlled dispense flow.

[0009] In another embodiment, a bottle-in-bottle (BIB) chemical source is used with the dispense pump to direct photolithography chemical through a chemical filter at a selected flow rate, such as near the optimal filtration rate. A source of pressurized fluid is applied to an outer chamber of the chemical source in order to push the chemical out of the inner chamber at the selected flow rate. No buffer vessel is needed, although one can be used if desired. The filtered chemical then is directed to a dispense pump, either directly or indirectly, which can direct the filtered chemical to a dispense nozzle at a controlled flow rate, such as near an optimal dispense flow rate, using a controlled dispense flow rate function. The chemical then can be dispensed onto the substrate using the controlled flow rate.

[0010] In another embodiment, a buffer vessel receives a flow of photolithography chemical from a chemical source and temporarily stores the photolithography chemical, acting as a continuous source of photolithography chemical for a lithography cycle or process. A pressure source applies a flow of pressurized fluid to the buffer vessel in order to push the photolithography chemical out of the buffer vessel. A chemical filter then receives and filters the photolithography chemical at a selected flow rate, such as an optimal flow rate, as controlled by the dispense pump and the pressure source. After exiting the filter, the filtered photolithography chemical is directed to a dispense pump, which applies pressure to the filtered photolithography chemical in order to direct the filtered photolithography chemical out of the dispense pump at a controlled flow rate, such as near an optimal dispense rate, using a controlled flow rate function. A dispense nozzle then dispenses the filtered photolithography chemical onto the substrate at substantially the controlled flow rate.

[0011] In another embodiment, a pressure source applies a flow of pressurized fluid in order to push the photolithography chemical at a controlled pressure. A chemical filter receives and filters the photolithography chemical at substantially a preselected flow rate. A dispense pump receives the filtered photolithography chemical and directs the filtered photolithography chemical out of the dispense pump at a controlled flow rate, such as near an optimal dispense rate, using a controlled flow rate function. A nozzle then dispenses the filtered photolithography chemical onto the substrate at substantially the controlled flow rate. A system controller monitors a variation in pressure of the filtered photolithography chemical near the nozzle while dispensing the photolithography chemical onto the substrate. The system controller then determines dispense adjustments for at least two values of the monitored pressure variation and adjusts the operation of the dispense pump for a subsequent substrate according to the determined dispense adjustments.

[0012] In one embodiment, a flow of photolithography chemical is directed into a buffer vessel for temporarily storing the photolithography chemical. A flow of pressurized fluid is applied to the buffer vessel in order to push the photolithography chemical out of the buffer vessel at a controlled pressure. The photolithography chemical is directed through a chemical filter at substantially a preselected flow rate as controlled by the flow of pressurized fluid and dispense pump. The filtered photolithography chemical then is directed to the dispense pump operable to direct the filtered photolithography chemical out of the dispense pump at a controlled flow rate, such as by using a controlled flow rate function. The photolithography chemical then is dispensed onto the substrate at substantially the controlled flow rate.

[0013] In one embodiment, a flow of the photolithography chemical is directed through a chemical filter at a first flow rate. The filtered flow of the photolithography chemical is directed through a dispense pump in order to direct the filtered flow of the photolithography chemical to a dispense nozzle at a second flow rate. A variation in pressure of the photolithography chemical is monitored near the dispense nozzle while dispensing the photolithography chemical onto the substrate. Dispense adjustments are determined for at least two values of the monitored pressure variation. The operation of the dispense pump then is adjusted for a subsequent substrate according to the determined dispense adjustments.

[0014] Other embodiments will be obvious to one of ordinary skill in the art in light of the description and figures contained herein.

BRIEF DESCRIPTION OF THE DRAWINGS

[0015] Various embodiments in accordance with the present invention will be described with reference to the drawings, in which:

[0016] FIG. 1 illustrates a photolithography chemical dispense apparatus that can be used in accordance with one embodiment of the present invention;

[0017] FIG. 2 illustrates a photolithography chemical dispense apparatus that can be used in accordance with one embodiment of the present invention;

[0018] FIG. 3 illustrates a photolithography chemical dispense apparatus that can be used in accordance with one embodiment of the present invention;

[0019] FIG. 4 illustrates a photolithography chemical dispense apparatus that can be used in accordance with one embodiment of the present invention;

[0020] FIG. 5 illustrates steps of a method that can be used in accordance with one embodiment of the present invention;

[0021] FIG. 6 illustrates a plot of the behavior of the dispense pressure with pump speed in accordance with one embodiment of the present invention;

[0022] FIG. 7 illustrates a plot of the behavior of the dispense pressure with pump speed in accordance with one embodiment of the present invention;

[0023] FIG. 8 illustrates a photolithography chemical dispense apparatus that can be used in accordance with one embodiment of the present invention;

[0024] FIG. 9 illustrates steps of a dispense rate adjustment method that can be used in accordance with one embodiment of the present invention; and

[0025] FIG. 10 is a simplified plan view of an embodiment of a track lithography tool according to an embodiment of the present invention.

DETAILED DESCRIPTION

[0026] Systems and methods in accordance with various embodiments of the present invention overcome the afore-mentioned and other deficiencies in existing dispense systems by changing the way in which pressure is applied and/or controlled throughout a dispense system. Separate pressure controls can be used for the filtration rate and the dispense flow rate. These separate pressures can be monitored and adjusted in order to reduce the error in the dispense pressure, and hence the dispense flow rate, in order to improve the accuracy of the overall dispense process for each cycle. Further, the separate pressure sources allow the filtration rate to be optimized, and also can allow for the monitoring and adjustment of the filtration rate. These optimizations provide for precise volumes and dispense rates for chemicals applied to substrates of a lot or batch.

[0027] As described above, track lithography tools dispense precise amounts of expensive lithography chemicals onto substrates to form thin, uniform coatings. For modern lithography processes, the volumes of lithography chemicals such as photoresist that are dispensed per event are small, with volumes typically ranging from about 0.5 ml to about 5.0 ml. The volume of chemical dispensed and the flow rate during a dispense operation, among other variables, are controlled during the process of dispensing the lithography chemicals. Control of the dispense operations in a track lithography tool typically should provide actual dispensed volumes with an accuracy of .+-.0.02 milliliters (ml) and repeatability from dispense event to dispense event of 3.sigma.<0.02 ml.

[0028] A wide variety of photolithography chemicals are utilized in such track lithography tools. For example, photoresist, bottom anti-reflective coating (BARC), top anti-reflective coating (TARC), top coat (TC), Safier, and the like are dispensed onto the substrate. After the selected chemical is dispensed, the substrate sometimes is spun to create a uniform thin coat on an upper surface of the substrate. Generally, to provide the levels of uniformity desired of many photolithography processes, dispense events start with a solid column of chemical. The flow rate is generally set at a predetermined rate as appropriate to a particular chemical deliver process. For example, the flow rate of the fluids is selected to be greater than a first rate in order to prevent the fluids from drying out prior to dispense. At the same time, the flow rate is selected to be less than a second rate in order to maintain the impact of the fluid striking the substrate below a threshold value.

[0029] As the dispense event is terminated, the fluid is typically drawn back into the dispense line, sometimes referred to as a suck-back process utilizing a suck-back valve. In some track lithography tools, the fluid is brought back into the dispense line about 1-2 mm from the end of the dispense nozzle, forming a reverse meniscus. This suck-back process prevents the lithography chemicals from dripping onto the substrate and prevents the chemicals from drying out inside the nozzle.

[0030] There are many dispense systems available for use with such a track lithography tool, which typically utilize a dispense pump for applying the lithography chemical at the specified flow rate and a filter for filtering any impurities and/or particulates from the lithography chemical before dispense. Typically, the filter is placed downstream of the pump, or between the dispense pump and the nozzle. This is an advantageous configuration since it is necessary to have enough pressure to push the lithography chemical through the filter to meet the necessary flow criteria. This configuration is not optimal, however, as the optimum dispense rate generally is not the same as the optimum filtration rate for a given system or chemical. The optimum rates can vary depend on various factors, such as chemical type, filter type, and pore size. Placing the filter after the dispense pump causes the dispense and filtration rates to be substantially identical, such that at most one of these flow rates can be optimized.

[0031] FIG. 1 shows a simplified schematic illustration of a photolithography chemical dispense apparatus 100 in accordance with an embodiment that places the chemical filter before the dispense pump (following along the process flow), allowing for the filtration rate to be different than the dispense rate. This is done through the addition of a feed pump used to control the filtration rate of chemical through the filter before reaching the dispense pump.

[0032] In the system of FIG. 1, a pressure valve 102 used to apply a flow of pressurized gas is coupled to a chemical source bottle 104 containing the photolithography chemical to be dispensed onto the surface of a substrate 146. In one embodiment, the source bottle is a NOWPak.RTM. container available from ATMI, Inc., of Danbury, Conn. The container includes a softpack for use with a nitrogen push source, such that the nitrogen does not contact the chemical. The gas source applies pressure to the softpack, pushing chemical out of the chemical source. The output line from the source bottle 104 is coupled to a flow control valve 108 in order to regulate the flow of the photolithography chemical in the fluid line 106. A buffer vessel 112 for receiving and temporarily storing the chemical includes an input port 110, coupled to the fluid line 106, and an output port 120, as well as a venting line 122. The buffer vessel also includes level sensor LS1 (114) and level sensor LS2 (116) for regulating the volume of photolithography chemical present in the buffer vessel 112.

[0033] The vent line 122 from the buffer vessel 112 is coupled to a vent valve 124 and a level sensor LS3 (126). The level sensor LS3 (126) serves to monitor the level of fluid passing through the vent valve 124. The output port 120 of the buffer vessel is coupled to input port of the feed pump 128. The feed pump receives in a flow of fluid, such as nitrogen (N.sub.2) gas, and has an output to vacuum. The feed pump 128 provides pressure to push a flow of chemical through a control valve to the input port 132 of the chemical filter 134. A flow of filtered chemical then is directed into the dispense pump 136, which is capable of providing a more accurate flow control than the feed pump 128. A filling cycle of the dispense pump, such as where a piston is retracted at a selected rate to draw chemical into the pump, can cause the filtered chemical to flow through the filter at a desired or preselected flow rate. During a dispense cycle, the piston can push gas out of the dispense pump at a controlled dispense flow rate that is approximately the optimal dispense flow rate for the given system and chemical. Typically, the preselected filter rate and controlled dispense rate will not be the same. Further, the preselected filter rate typically will be substantially constant, while the controlled dispense rate can correspond to any appropriate control function, such as a constant, linear, step, or non-linear function as described further below.

[0034] The application of pressure from the feed pump can prevent a negative pressure in the system, which can draw particles or contaminants back into the chemical. A vent port 138 and an output port 154 can be provided on the dispense pump 136 if desired, with a vent valve 140 being coupled to the vent port 138. A shut off/suck-back valve 142 is coupled to the fluid line running from the output port 154 of the dispense pump. From the suck-back valve 142, the photolithography chemical is delivered to the substrate 146 through an appropriately sized dispense nozzle 144. As will be apparent to one of skill in the art, apparatus adapted to chuck and spin the substrate are not illustrated for purposes of clarity. Furthermore, additional dispense systems adapted to provide photolithography chemicals, e.g., multi-nozzle systems, are not illustrated for purposes of clarity and simplicity of understanding.

[0035] While the system of FIG. 1 allows for separate control of the filtration and dispense flow rates, experimental use has shown that this configuration does not provide improved results for all cases. This is due in part to the fact that the feed pump is less accurate than the dispense pump, such that the actual filtration rate is not controlled as precisely. Further, the additional components and piping provide additional locations for expansion, compression, or other sources of pressure and volume variation.

[0036] In order to reduce the number of components, and thus potential sources of pressure and volume variation, as well as to provide at least a similar level of control over a separate filtration rate, FIG. 2 shows a simplified schematic illustration of a photolithography chemical dispense apparatus 200 in accordance with an embodiment that eliminates both the buffer valve and the feed pump. In this embodiment, the chemical source 204 is a bottle-in-bottle design that has a flexible inner chamber for containing the chemical and a rigid outer chamber for receiving a flow of pressurized fluid, such as a flow of N.sub.2 fluid. A pressure regulator 202 can be used to apply a specific amount of pressure to the outer chamber of the chemical source 204, in order to compress the inner chamber and push a flow of chemical from an output port of the chemical source 204.

[0037] The flow of nitrogen gas into the outer chamber can be controlled in order to pass a flow of chemical to the filter 212 at a controlled pressure, such that when the dispense pump is in a fill cycle the chemical will pass through the filter at near an optimal filtration rate, as can be determined through calibration and/or experimentation. A control valve 208 also can be used to control the flow of chemical into the filter 212. The filter can have a vent line 216 with a vent valve 214, as well as an output fluid line 218 for passing the filtered liquid to the dispense pump 220. The outlet of the dispense pump 220, at near a controlled and/or optimal dispense rate, is passed through a suck-back valve 228 and onto the surface of the substrate 232 through the dispense nozzle 230. In some embodiments, a pressure sensor 224 can receive an input flow 232 from the dispense pump and output an output flow 226 to the suck-back valve 228 in order to monitor the dispense pressure.

[0038] While the system of FIG. 2 reduces the number of components, and thereby the amount of potential expansion or compression in the system, there is no buffer valve ensuring that there is enough chemical to finish a lot of substrates even if the chemical source runs empty. In some embodiments, multiple chemical sources can be used to supply a flow of chemical, such that an operator (or the system itself) simply switches to another source when the current source runs empty. Since the heads for chemical source bottles, for example, are relatively expensive, this might not be an appropriate solution for all applications. In other embodiments, the source is monitored and simply replaced when the source is running low. This results in a waste of chemical, however, as some chemical will be discarded with each source bottle.

[0039] FIG. 3 shows a simplified schematic illustration of a photolithography chemical dispense apparatus 300 in accordance with an embodiment that utilizes a buffer vessel, and utilizes the chemical source as a pressure source for the filter, placed before the dispense pump. Reference numbers are carried over from FIG. 2 where appropriate for simplicity, but this should not be read as a limitation on the various embodiments. In this embodiment, a flow of fluid 304 from the chemical source 204 is directed through the control valve 208 into the buffer vessel 302. The buffer vessel includes two level sensors LS2 (306) and LS3 (308) for ensuring a proper amount of chemical in the buffer vessel 302. An output flow 310 from the buffer vessel flows into the filter 212, and is processed as in FIG. 2 above. This embodiment provides a buffer vessel to ensure availability of enough chemical to finish a given lot or batch of substrates, but utilizes the chemical source as a pump source for the filter. A problem can arise with such a system, however, as many chemical sources are not able to provide enough flow, or at least a stable or accurate enough flow, to accurately control and maintain the optimal filtration rate and prevent negative pressure in the system.

[0040] FIG. 4 shows a simplified schematic illustration of a photolithography chemical dispense apparatus 400 in accordance with an embodiment that utilizes a three-way valve 402 to provide a separate flow of pressurized fluid, such as nitrogen gas, to the buffer vessel, although such an assembly could be provided elsewhere in a dispense system as would be apparent to one of ordinary skill in the art. During a dispense process, the three-way valve 402 is opened to direct the additional flow of nitrogen into the filter 212. A pressure regulator 404 can be used to ensure that the proper amount of pressure is being used to push the chemical through the filter at near the optimal filtration rate (or another appropriate rate) during a fill or suction cycle of the dispense pump. Since the chemical source may not provide a sufficient source of pressure, and since one chemical source might be connected to multiple lines, it might not otherwise be possible to get a consistent, accurate filtration rate using just the chemical source and dispense pump to push and/or suction chemical through the filter. This additional flow through the three-way valve allows additional pressure to be added to the filter, in order to push the chemical through this particular line at near the desired flow rate. The three-way valve 402 then can be opened in another direction in order to vent the filter, and another pressure valve 406 can be used to monitor the pressure in the line 2.

[0041] FIG. 5 illustrates steps of an exemplary method 500 that can be used with a system such as the system shown in FIG. 4. In this embodiment, a first flow of pressurized fluid is applied to a chemical source in order to push a flow of chemical through the system 502. The chemical flow is fed into a buffer vessel 504, the amount of flow being regulated by a control valve or other such component where appropriate. The chemical typically is supplied to the buffer vessel such that at least a minimum amount of chemical is always in the buffer vessel during operation, ensuring that enough chemical is present to finish any given lot or batch of substrates being processed by the system. A separate flow of pressurized fluid is applied to the buffer vessel to ensure that a sufficient amount of pressure is applied to the buffer vessel to pass the chemical out of the buffer vessel 506 and through the filter at a selected filter flow rate during a fill or suction cycle of the dispense pump, wherein the pump is the primary control of the rate of flow through the filter 508. The amount of pressure applied by the separate fluid flow can be regulated to ensure a proper first flow rate. The chemical from the buffer vessel passes through the filter in order to remote contaminants and/or particulates from the chemical. In this example, the pressures applied in steps 502 and 506 can be calibrated and controlled such that the flow rate of chemical through the filter is at or near the optimal filtration rate for the system, chemical, and operating conditions.

[0042] The filtered flow of chemical from the filter then is directed into a dispense pump 510. The dispense pump in one embodiment suctions in the chemical during a suction phase, then pushes the chemical out at a controlled rate, such as a constant rate or a variable rate as determined using a selected flow function, to a nozzle of the system in order to dispense the chemical onto the surface of a substrate 512. The dispense pump can be calibrated and controlled such that the flow rate of chemical from the dispense pump is maintained at or near an optimal dispense rate for the system, chemical, and operating conditions, where the optimal dispense rate can be constant or can vary over time. The chemical from the dispense pump can be passed through a suck-back valve in order to prevent excess chemical from dripping or otherwise passing onto the surface of the substrate after a sufficient volume is applied to the substrate.

[0043] While such a method provides for separate, controllable filtration and dispense rates, there still may not be an accurate dispense rate for any given substrate, cycle, or process. For example, FIG. 6 illustrates a speed of the dispense pump and a corresponding behavior of the dispense pressure, which is correlated with the dispense flow rate. It should be understood that these plots are merely for explanation, and are not meant to be to scale or to accurately model the precise behavior of these parameters. When an optimal or desirable constant dispense rate is calculated for the system in this example, the dispense pump typically is set to a constant speed (or other pressure setting), such that the pump speed is substantially constant during a dispense operation as shown by the speed plot over time 602. As indicated by experimental use, however, the actual pressure during the dispense process and, correspondingly, the flow rate are not constant over time. It should be understood that the desired flow rate may not be constant, but may follow a flow function that steps or otherwise varies over time, either linearly or non-linearly. The effects of increasing pressure can be handled in a similar fashion for these non-constant flows.

[0044] For example, consider a positive displacement pump. If a piston (or other mechanism) of the pump is moved at a constant speed such that the displacement changes at a constant rate, it would be expected that a constant volume of chemical is pushed out of the pump. Unfortunately, there are a number of components in the system, including the tubing used to direct the flow of chemical, which are not 100% incompressible or 100% non-expandable. As such, the amount of chemical that is actually dispensed (per unit time) at the beginning of a dispense process is less than the amount of chemical dispensed (per unit time) at the end of a dispense process, as some components will expand or compress upon the initial application of pressure, such that the volume of the system downstream of the pump will slightly increase near the beginning of the dispense cycle. There may be an initial spike at startup, as shown the by plot 604, but otherwise the actual dispense rate will increase from the beginning of the dispense cycle until the end of the cycle. Once the actual components are substantially compressed or expanded, such that the volume does not substantially change, the pressure and corresponding dispense rate then should be substantially constant. Unfortunately, the pressure can change between each dispense cycle, such that the dispense rate will again start at a lower value at the next cycle.

[0045] Because the physical displacement of the pump therefore is not accurate enough to describe the dispense flow rate (using the cross-section of the pump cylinder and the piston speed, for example), and to account for these small errors, it can be desirable to monitor the dispense rate in order to adjust the behavior of the system during a dispense cycle. It is desirable for many applications to have a dispense flow rate that is relatively constant during the dispense cycle. If another flow rate is desired, it still could benefit from a more accurate control model.

[0046] A first approach to improving flow rate performance in accordance with one embodiment is to utilize a pressure sensor (such as the pressure sensor 224 in FIG. 4) between the dispense pump (220) and the dispense nozzle (230). Pressure can build up in the system before opening the nozzle. By viewing the pressure near the nozzle before the beginning of the dispense process, a necessary pressure adjustment can be determined, such as by comparing the actual pressure to a reference or calibrated pressure. An adjustment then can be calculated for the dispense pump, such as an increase or decrease in piston speed, in order to ensure that the dispense process starts near the reference pressure (within an allowable amount of error, such as 0.02 ml). This process can be repeated before each dispense cycle. The reading, calculation, and adjustment can be done manually, or can be done automatically using processes such as are discussed below with respect to FIG. 8. In another embodiment, the pressure inside the pump is read at the beginning of the dispense cycle, before the beginning of the actual dispensing of chemical, such that the pump (or a controller in electronic communication with the pump) can adjust the pressure automatically before the nozzle is opened. This also can ensure that the actual pressure, at least inside the dispense pump, is near the reference pressure before dispensing starts.

[0047] In order to obtain optimal performance for the dispense system, however, an advanced control system can be used to monitor and adjust the performance of the system in order to maintain near optimal filtration and/or dispense rates within much less than the allowable error. An exemplary system 800 in accordance with one embodiment is shown in FIG. 8. Reference numbers are again carried over where appropriate for simplicity, but should not be read as a limitation on any of the respective embodiments. As illustrated, the system 800 includes a system controller 802, which can include a processor 804 and memory 806 as known in the art, as well as at least one system interface 808 for communicating with a component of the system, such as a pressure sensor, pump, etc. The controller also can have a user interface component 810, which can include a control panel, display, interface signal, or any other appropriate device or component allowing a user or external device to access functionality of the controller 802.

[0048] As shown, the controller 802 in one embodiment is in communication with a pressure sensor 224 between the dispense pump and the dispense nozzle, as well as in communication with the dispense pump 220. The controller is configured to monitor the behavior of the pressure as measured by the pressure sensor 224 during a dispense cycle. Once the controller determines a typical behavior of the pressure during a dispense cycle, such as is shown by plot 604 in FIG. 6, the controller can compute a controlled flow rate function to be applied to the dispense pump in order to obtain a relatively constant pressure (and dispense flow rate) during a cycle, or to substantially follow a desired pressure function or variation for the dispense process. For example, if the pressure increases during a dispense cycle as shown in FIG. 6, the controller can calculate a decreasing speed control function for the dispense pump, as shown by the plot 702 in FIG. 7. Because the controller has access to calibration information for the dispense pump, which can be stored in accessible memory 806, the controller can compute an adjustment to be made to the pump speed for any point along the dispense pressure curve in order to bring the actual pressure to the reference pressure. In one example, the controller can look at the minimum pressure at the beginning of the cycle (after any initial spike) and the maximum pressure at the end of the cycle, and can calculate a monotonically decreasing pump speed function, such as shown by the plot 702 of FIG. 7, which will cause the pressure curve 704 to be more constant during the dispense cycle where a constant pressure is desired. For many systems, this first order adjustment can be sufficient to reduce the amount of dispense error to well within specification.

[0049] In order to further reduce error, determinations of adjustment can be made at a plurality of points along the pressure curve, in order to calculate a number of necessary pump adjustments over time. Because the pressure does not monotonically increase over the dispense cycle, a more complex function can more accurately adjust for the behavior of the system over time. This also can help to account for an initial spike by starting the process at a lower pressure and quickly increasing the pressure. The function can be a step function, whereby if five measurements are taken during a cycle, for example, there will be five different pressure (pump speed) settings over a given dispense cycle. A curve can be fit to the data in another embodiment, in order to compute a smooth speed adjustment function to be applied to the dispense pump. The smooth function can more accurately track the behavior of the system and reduce the likelihood of pressure spikes due to sudden changes in pump speed.

[0050] Such an approach also can be used to optimize the filtration rate of the system, although the filtration rate is typically less critical. A pressure sensor 812 can be placed between the filter 212 and the dispense pump 220 (or at another appropriate location) in order to monitor the behavior of the pressure (and hence the flow rate) corresponding to the filtration rate. The controller can calculate adjustments to be applied to a pressure regulator 404 directing a flow of gas into the buffer vessel 302 and/or a pressure regulator 202 directing a flow of gas into the chemical source 204. There can be many other appropriate locations for measuring and/or adjusting pressure as would be apparent to one of ordinary skill in the art in light of the disclosure and teachings contained herein.

[0051] FIG. 9 illustrates an exemplary method 900 for controlling a dispense flow rate using a system such as that illustrated in FIG. 8. In this embodiment, the dispense pressure during an initial dispense process is monitored 902. Using the behavior of the dispense pressure, an adjustment function is calculated to be applied to the dispense pump during a dispense cycle 904. An initial pressure is built up inside the dispense system before the next dispense cycle 906. The pressure is measured near the dispense nozzle 908, and an adjustment is made to the dispense pump in order to bring the system pressure to substantially a reference pressure before the chemical is dispensed 910. Once the pressure is substantially at the reference pressure, the dispense cycle begins and the adjustment function is used to drive the dispense pump in order to control the pressure (and dispense flow rate) during the dispense cycle 912. The dispense pressure is measured during the dispense process 914. Adjustments are made to the adjustment function to be used for subsequent dispense cycles 916. These adjustments in one embodiment are made in real time, during the dispense process, in order to attempt to reduce the error in a given cycle. In another embodiment, these adjustments are instead tracked during the dispense cycle then used to calculate a new adjustment function to be used for the next dispense cycle. In one embodiment, the adjustment function is reevaluated after each dispense cycle, such that the behavior of the pump can be different for any or all dispense cycles, which can account for changes in system behavior, atmospheric conditions, or any other such potentially influencing factor. In other embodiments, the adjustment function is only reevaluated periodically, after each lot, or when the error reaches a given threshold (less than or equal to the allowable error).

[0052] The above sequences of steps provide methods for controlling and/or adjusting the dispensing of a photolithography chemical onto a substrate positioned in a track lithography tool in accordance with various embodiments. As shown, the methods use certain combinations of steps, although other sequences of steps may also be performed according to alternative embodiments. For example, alternative embodiments of the present invention may perform the steps outlined above in a different order.

[0053] Moreover, the individual steps may include multiple sub-steps that may be performed in various sequences as appropriate to the individual step. Furthermore, other alternatives can also be provided where steps are added, one or more steps are removed, or one or more steps are provided in a different sequence without departing from the scope of the claims herein. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

Track Lithography Tool

[0054] FIG. 10 is a plan view of an exemplary track lithography tool 1000 which can be used with various embodiments in accordance with the present invention. As illustrated in FIG. 10, the track lithography tool 1000 contains a front end module 1006 (sometimes referred to as a factory interface or FI) and a process module 1008. In other embodiments, the track lithography tool 1000 includes a rear module (not shown), which is sometimes referred to as a scanner interface. Front end module 1006 generally contains one or more pod assemblies or FOUPS (e.g., items 1002A-D) and a front end robot assembly 1010 including a horizontal motion assembly 1066 and a front end robot 1012. The front end module 1006 may also include front end processing racks (not shown). The one or more pod assemblies 1002A-D are generally adapted to accept one or more cassettes 1004 that may contain one or more substrates or wafers that are to be processed in the track lithography tool 1000. The front end module 1006 may also contain one or more pass-through positions (not shown) to link the front end module 1006 and the process module 1008.

[0055] The process module 1008 generally includes a number of processing racks 1014A, 1014B, 1030, and 1040. As illustrated in FIG. 10, some processing racks 1014A and 1014B in this embodiment each include a coater/developer module with a shared dispense 122. A coater/developer module with this shared dispense 1022 includes two coat bowls 1016 positioned on opposing sides of a shared dispense bank 1018, which contains a number of nozzles 1020 providing processing fluids (e.g., bottom anti-reflection coating (BARC) liquid, resist, developer, and the like) to a wafer mounted on a substrate support 1028 located in the coat bowl 1016. In the embodiment illustrated in FIG. 10, a dispense arm 1024 sliding along a track 1026 is able to pick up a nozzle 1020 from the shared dispense bank 1018 and position the selected nozzle over the wafer for dispense operations. Of course, coat bowls with dedicated dispense banks are provided in alternative embodiments.

[0056] Processing rack 1030 includes an integrated thermal unit 1038 including a bake plate 1032, a chill plate 1034, and a shuttle 1036. The bake plate 1032 and the chill plate 1034 are utilized in heat treatment operations including post exposure bake (PEB), post-resist bake, and the like. In some embodiments, the shuttle 1036, which moves wafers in the x-direction between the bake plate 1032 and the chill plate 1034, is chilled to provide for initial cooling of a wafer after removal from the bake plate 1032 and prior to placement on the chill plate 1034. Moreover, in other embodiments, the shuttle 1036 is adapted to move in the z-direction, enabling the use of bake and chill plates at different z-heights. Processing rack 1040 includes an integrated bake and chill unit 1046, with two bake plates 1042A and 1042B served by a single chill plate 1044.

[0057] One or more robot assemblies (robots) 1048A, 1048B are adapted to access the front-end module 1006, the various processing modules or chambers retained in the processing racks 1014A, 1014B, 1030, and 1040, and the scanner 1062. By transferring substrates between these various components, a desired processing sequence can be performed on the substrates. The two robots 1048A, 1048B illustrated in FIG. 10 are configured in a parallel processing configuration and travel in the x-direction along horizontal motion assembly 1050A, 1050B. Utilizing a mast structure (not shown), the robots 1048A, 1048B are also adapted to move in a vertical (z-direction) and horizontal directions, i.e., transfer direction (x-direction) and a direction orthogonal to the transfer direction (y-direction). Utilizing one or more of these three directional motion capabilities, the robots 1048A, 1048B are able to place wafers in and transfer wafers between the various processing chambers retained in the processing racks that are aligned along the transfer direction.

[0058] The first robot assembly 1048A and the second robot assembly 1048B here are adapted to transfer substrates to the various processing chambers contained in the processing racks 1014A, 1014B, 1030, and 1040. In one embodiment, to perform the process of transferring substrates in the track lithography tool 1000, robot assembly 1048A and robot assembly 1048B are similarly configured and include at least one horizontal motion assembly 1050A, 1005B, at least one vertical motion assembly 1054A, 1054B, and robot hardware assemblies 1052A, 1052B supporting robot blades 1056A, 1056B. Robot assemblies 1048A, 1048B are in communication with a system controller 1066. In the embodiment illustrated in FIG. 10, a rear robot assembly 1060 is also provided.

[0059] The scanner 1062, which in one embodiment may be purchased from Canon USA, Inc. of San Jose, Calif., Nikon Precision Inc. of Belmont, Calif., or ASML US, Inc. of Tempe Ariz., is a lithographic projection apparatus used, for example, in the manufacture of integrated circuits (ICs). The scanner 1062 exposes a photosensitive material (resist), deposited on the substrate in the cluster tool, to some form of electromagnetic radiation to generate a circuit pattern corresponding to an individual layer of the integrated circuit (IC) device to be formed on the substrate surface.

[0060] Each of the processing racks 1014A, 1014B, 1030, and 1040 can contain multiple processing modules in a vertically stacked arrangement. That is, each of the processing racks may contain multiple stacked coater/developer modules with shared dispense 1022, multiple stacked integrated thermal units 1038, multiple stacked integrated bake and chill units 1046, or other modules that are adapted to perform the various processing steps required of a track photolithography tool. As examples, coater/developer modules with shared dispense 1022 may be used to deposit a bottom antireflective coating (BARC) and/or deposit and/or develop photoresist layers. Integrated thermal units 1038 and integrated bake and chill units 1046 may perform bake and chill operations associated with hardening BARC and/or photoresist layers after application or exposure.

[0061] In one embodiment, a system controller 1066 is used to control all of the components and processes performed in the cluster tool 1000. The controller 1066 is generally adapted to communicate with the scanner 1062, monitor and control aspects of the processes performed in the cluster tool 1000, and is adapted to control all aspects of the complete substrate processing sequence. The controller 1066, which is typically a microprocessor-based controller, is configured to receive inputs from a user and/or various sensors in one of the processing chambers and appropriately control the processing chamber components in accordance with the various inputs and software instructions retained in the controller's memory. The controller 1066 generally contains memory and a CPU (not shown) which are utilized by the controller to retain various programs, process the programs, and execute the programs when necessary. The memory (not shown) is connected to the CPU, and may be one or more of a readily available memory, such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory for instructing the CPU. The support circuits (not shown) are also connected to the CPU for supporting the processor in a conventional manner. The support circuits may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like all well known in the art. A program (or computer instructions) readable by the controller 166 determines which tasks are performable in the processing chamber(s). Preferably, the program is software readable by the controller 166 and includes instructions to monitor and control the process based on defined rules and input data.

[0062] It is to be understood that embodiments of the invention are not limited to use with a track lithography tool such as that depicted in FIG. 10. Instead, embodiments of the invention may be used in any track lithography tool including the many different tool configurations described in U.S. patent application Ser. No. 11/315,984, entitled "Cartesian Robot Cluster Tool Architecture" filed on Dec. 22, 2005, which is hereby incorporated by reference for all purposes and including configurations not described in the above referenced application.

[0063] A particle detection apparatus 1064 also can be provided as a module in the track lithography tool 1000. This particle detection apparatus 1064 is serviced by one or both of the robot assemblies 1048A, 1048B and is utilized, as described more fully throughout the present specification, to detect particles present on the backside of a wafer or substrate. The use of the particle detection apparatus may occur before or after several of the wafer processes performed within the track lithography tool 1000. These wafer processing include coat, develop, bake, chill, exposure, and the like. In a particular embodiment, the substrate is scanned for particles prior to processing by the scanner. In alternative embodiments, the particle detection apparatus 1064 is located external to the track lithography tool 1000 in a separate stand-alone test module. One of ordinary skill in the art would recognize many variations, modifications, and alternatives.

[0064] The examples and embodiments described herein are for illustrative purposes only. Various modifications or changes in light thereof will be suggested to persons skilled in the art and are to be included within the spirit and purview of this application and scope of the appended claims. It is not intended that the invention be limited, except as indicated by the appended claims.

* * * * *


uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed