Method Of Anisotropic Etching Of Substrates

PANDHUMSOPORN, TAMARAK ;   et al.

Patent Application Summary

U.S. patent application number 09/295100 was filed with the patent office on 2001-11-22 for method of anisotropic etching of substrates. Invention is credited to FELDBAUM, MICHAEL, PANDHUMSOPORN, TAMARAK, PUECH, MICHEL, YU, KEVIN.

Application Number20010044213 09/295100
Document ID /
Family ID23136218
Filed Date2001-11-22

United States Patent Application 20010044213
Kind Code A1
PANDHUMSOPORN, TAMARAK ;   et al. November 22, 2001

METHOD OF ANISOTROPIC ETCHING OF SUBSTRATES

Abstract

A method of plasma etching of silicon that utilizes the plasma to provide laterally defined recess structures through a mask. The method is based on the variation of the plasma parameters to provide a well-controlled anisotropic etch, while achieving a very high etch rate, and a high selectivity with respect to a mask. A mixed gas is introduced into the vacuum chamber after the chamber is evacuated, and plasma is generated within the chamber. The substrate's surface is exposed to the plasma. Power sources are used for formation of the plasma discharge. An integrated control system is used to modulate the plasma discharge power and substrate polarization voltage levels.


Inventors: PANDHUMSOPORN, TAMARAK; (FREMONT, CA) ; YU, KEVIN; (SAN JOSE, CA) ; FELDBAUM, MICHAEL; (FREMONT, CA) ; PUECH, MICHEL; (ANNCY CEDEX, FR)
Correspondence Address:
    SUGHRUE MION ZINN MACPEAK & SEAS PLLC
    2100 PENNSYLVANIA AVENUE NW
    WASHINGTON
    DC
    200373213
Family ID: 23136218
Appl. No.: 09/295100
Filed: April 21, 1999

Current U.S. Class: 438/712 ; 438/700; 438/701; 438/706; 438/707; 438/714; 438/719; 438/734; 438/735
Current CPC Class: H01L 21/30655 20130101
Class at Publication: 438/712 ; 438/700; 438/701; 438/707; 438/706; 438/714; 438/719; 438/734; 438/735
International Class: H01L 021/311

Claims



What is claimed:

1. An anisotropic etching process for etching a silicon substrate comprising the steps of: introducing a mixed gas containing etchant and passivating gases into a chamber with the silicon substrate to be etched; etching said substrate in the presence of said mixed gas by controlling excitation power and substrate bias during a first period of time to remove material from the surface of said substrate and thereby provide an exposed surface; passivating said substrate in the presence of said mixed gas by controlling excitation power and substrate bias during a second period of time to cover said exposed surface with a polymer layer, wherein said mixed gas is the same during said etching and passivating steps; and repeating said etching step.

2. The etching process of claim 1, further comprising the step of repeating said passivating step

3. The etching process of claim 1, wherein said etching step includes the steps of applying RF power to an inductive coupler disposed in said chamber in the range of 100 to 800 W and applying a voltage to said substrate to produce a substrate bias in the range of 50 to 300 eV.

4. The etching process of claim 3, wherein said passivating step includes the steps of applying RF power to an inductive coupler disposed in said chamber in the range of 1000 to 3000 W and applying a voltage to said substrate to produce a substrate bias in the range of 0 to 25 eV.

5. The etching process of claim 3, wherein said etching step is carried out for a period ranging from 10 to 100 seconds.

6. The etching process of claim 4, wherein said etching step is carried out for a period ranging from 10 to 100 seconds.

7. The etching process of claim 4, wherein said passivating step is carried out for a period ranging from 0.5 to 3 seconds.

8. The etching process of claim 5, wherein said passivating step is carried out for a period ranging from 0.5 to 3 seconds.

9. The etching process of claim 1, wherein said introducing step includes the step of introducing SF.sub.6, C.sub.4F.sub.8, and CHF.sub.3 into the chamber.

10. The etching process of claim 1, wherein a gas pressure in said chamber is in the range of 0.1 to 10 Pa.

11. The etching process of claim 1, further comprising the step of varying the pressure in said chamber.

12. The etching process of claim 1, wherein during said introducing step said mixed gas is introduced at a constant rate during said etching steps and said passivating step.

13. The etching process of claim 1, wherein said excitation power during the etching steps is lower than the excitation power during said passivating step.

14. The etching process of claim 1, wherein said substrate bias during said etching steps is lower that said substrate bias during said passivating step.
Description



BACKGROUND OF THE INVENTION

[0001] 1. Field of the Invention

[0002] The invention relates to a method of anisotropic plasma etching of substrates preferably defined with an etching mask in which the etch rate and selectivity is increased. The method can be well implemented for manufacturing microelectromechanical system (MEMS), as well as microelectronic devices.

[0003] 2. Background of the Related Art

[0004] Anisotropic plasma etching, particularly for single crystal silicon, can work independent of crystal orientation of the substrate or doping level. This method also applies to doped or undoped polysilicon. Preferred fields of applications are MEMS technology, where structures have a high aspect ratio, i.e., a high structural height to width ratio. Other examples include surface wave technology, where narrow grooves and vertical walls are etched to produce actuators, surface wave filters, delay lines, etc. Additional microelectronics applications include storage cells, insulation, collector contacts, etc.

[0005] The Reactive Ion Etching (RIE) processes which are commonly used for anisotropic silicon etch employ relatively high energy ions (.gtoreq.100 eV) and reactive halogens, such as fluorine, chlorine or bromine, which are used directly in the plasma or are released from corresponding compounds, like CF.sub.4, CF.sub.3Br, C.sub.2F.sub.6, CCI.sub.4, CHCI.sub.3. The resulting ion bombardment of the etching ground, i.e., the area to be etched, initiates the reaction of the radicals with the silicon to be depleted. The etching of the sidewalls is minimal due to the directionality of the ions.

[0006] Problems occur when, to increase the speed of silicon removal (i.e., the etch rate), one tries to enhance the plasma density by increasing the power coupled to the plasma discharge. This can be accomplished by either increasing the power of the source for the plasma discharge or by increasing the value of the polarization voltage applied to the substrate. However, as the power is increased more hot ions are produced and the direction of ion movement becomes more random. The results is that more ions and radicals are depleted by the walls of the trenches, with the inevitable loss of anisotropy of the etch. To overcome this problem, one must reduce the etch rate, resulting in the loss of the throughput.

[0007] An additional problem encountered is mask degradation. As etch rate is reduced, etch time, and therefore mask exposure time, are increased, leading to more rapid mask degradation, i.e., reduced selectivity.

[0008] U.S. Pat. No. 5,501,893 discloses an etching method that includes alternating etching and polymerizing steps where the purpose of the polymerizing step is to provide a polymer layer on the surfaces that were exposed in the previous etching step to form a temporary etch stop. Thus the side walls are protected from etching during the etching steps. However, the gas mixtures introduced during the etching and polymerizing steps are different such that different gas mixtures are cycled during the respective etching and polymerizing steps. In the etching step the gas mixture includes SF.sub.2 and Ar and in the polymerizing step the gas mixture includes CHF.sub.3 and Ar.

[0009] The problem with cycling different gases is that the time ratio of the etch deposition cycle depends on the speed of the gas mixtures and varies from point to point, affecting the uniformity. Also, the time for species to arrive at the bottom of the etched trench varies drastically for trenches having different sized openings. Also, this method typically requires more complex hardware and controls to introduce the two different gas mixtures in cycles.

[0010] It is desirable to make the plasma as cold as possible with coexisting polymer-producing unsaturated monomers and fluorine, bromine or iodine radicals. The energy level sufficient for ionization is different for each gas. In certain cases, the activation energy for polymer-producing gases (C.sub.4F.sub.8, CHF.sub.3) is two times higher than for radical producing gases (SF.sub.6). The object of the invention is to establish a method for enhancing the treatment of the silicon surface being etched, by using the differences in the energies of activation of the reactive gases to arrive at optimal conditions for both etching and passivation, and alternating those conditions at a high rate to produce a high aspect ratio, and high selectivity etch process.

SUMMARY OF THE INVENTION

[0011] The object of the present invention is accomplished by providing a method of anisotropic plasma etching of substrates (typically silicon) comprising the following steps:

[0012] a) placing the substrate with the surface to be selectively etched on an electrode connected to an electromagnet power source;

[0013] b) introducing mixed gases consisting of an etching gas (SF.sub.6) and a passivation gas (CHF.sub.3, C.sub.4F.sub.8, etc.) into the processing chamber;

[0014] c) exciting the mixed gases with lower power (100-800 W) electromagnetic radiation sufficient to produce a plasma containing ions and radicals for etching;

[0015] d) concurrent with step (c), applying high polarizing voltage (50-500 eV) to the substrate via its electromagnet power source to produce a highly anisotropic etch;

[0016] e) exciting the mixed gases with high power (1000-3000 W) electromagnetic radiation to produce in the plasma unsaturated monomers for protective polymer coating formation;

[0017] f) concurrent with step (e), applying low polarizing voltage (0-25 eV) to the substrate to form a conformal polymer coating on the exposed side walls of the surfaces being etched; and

[0018] alternating steps c) and d) with steps e) and f) to achieve an anisotropic etch with a high etch rate and selectivity than is currently being achieved using other methodologies.

[0019] The method used in this invention enables the substrate to be etched without using helium gas as a cooling medium. This is because lower power is used to excite the etching gas resulting in less heat being generated during the process.

[0020] A further advantage of this invention is that a constant flow of mixed gas is injected into the process chamber during processing, resulting in a process that is more stable and repeatable.

BRIEF DESCRIPTION OF THE DRAWINGS

[0021] The invention is described in detail below in conjunction with the attached drawings in which:

[0022] FIG. 1 is a schematical view showing the etching device for use in the present invention; and

[0023] FIGS. 2(a) to 2(c) are views showing the process steps of the present invention.

DESCRIPTION OF THE PREFERRED EMBODIMENT

[0024] The following is a detailed description of the invention with reference to FIGS. 1 and 2(a) to 2(c). Referring to FIG. 1, the device includes an etching chamber 10. Provided within the chamber 10 is a substrate holder 12 for holding substrate 14 as well as an inductive coupler 16 provided near the top of the chamber 10. The substrate holder 12 is an electrode which is electrically connected to generator 18, including a power supply 19 and a matching network 21, for polarizing the substrate 14. Located at the top of the chamber is an inlet line 20 for introducing process gases into the chamber. The process gases are stored in gas tanks 22, 24 and 26. The flow rate of the process gases into the chamber 10 is controlled by a control valves 28. The plasma stimulation is provided by inductive coupler 16 powered by an RF power source 30 and an associated matching network 32. Pressure within the chamber 10 is controlled by mechanical pump 34, turbo-molecular pump 36 and throttle valve 38, in the conventional manner. It is of course understood that the invention is not limited to this particular device.

[0025] The following is a description of the process of etching the substrate with reference to FIGS. 2(a) to 2(c). The substrate 14, including a silicon substrate 40 and an etching mask 40 that exposes the regions of the silicon substrate 40 that are intended to be anisotropically etched, is placed on the substrate holder/electrode 12 and subjected to the first etching step. In this step, a mixture of gases containing etchant and passivating gases (e.g., SF.sub.6, C.sub.4F.sub.8, and CHF.sub.3) with a certain flow rate, preferably in the range of 100 to 400 sccm and pressure in the range of 0.1 to 10 Pa, is introduced into the chamber 10. The plasma is stimulated by applying a relatively low RF power, preferably in the range of 100 to 800 W, from the power source 30. At the same time, a polarization potential is provided by the substrate generator 18 to produce an electrical field of a relatively high value in the range of 50 to 500 eV, and preferably 80-300 eV. The low RF power applied for plasma stimulation provides the directional etch with an extremely high rate. Specifically, the plasma is "cold" due to the low energy applied so that the directionality of the ions can be controlled. Also, the high potential of the substrate 14 provides strong acceleration of the ions toward the etched surface. Both factors provide excellent directionality of the ions, resulting in a high anisotropic etch. The etched portion 44 of the silicon substrate 40 is shown in FIG. 2(a).

[0026] After a certain period of time, e.g., 10 to 100 sec, the power source 30 switches to a relatively high power, preferably in the range of 1000 to 3000 W, to create high energy excitation of the plasma and the polarization potential developed by the substrate generator is reduced to a relatively low, or even zero, value (i.e. 0-25 eV). High energy excitation of the plasma creates a condition that results in the formation of a polymer layer 46. Further, isotropic movement of species causes the thickness of the polymer layer 46 on the bottom of the trench to be the same as the thickness on the sidewalls, as shown in FIG. 2(b). During this time, the low polarization on the substrate 14 prevents any etching. After a certain time, e.g., 0.5 to 3 sec, the protective polymer layer 46 of a predetermined thickness is formed on the trench walls, as shown in FIG. 2(b). This protective polymer layer 46 prevents erosion of the trench walls that were formed in the previous etching steps during the subsequent etching step, performed in the manner discussed above.

[0027] Specifically, during the next etching step the previously etched sidewalls remain protected by the polymer layer 46, while the polymer layer on the bottom of the trench is rapidly stripped by the anisotropic bombardment of the ions that are accelerated by the high polarizing potential, allowing the silicon at the base of the trench to be further etched, as identified by reference numeral 48 in FIG. 2(c). The etching and passivating steps are alternately repeated until the trench reaches the required depth.

[0028] There are several advantages of this process when compared to the conventional process discussed above. In particular, the continuous introduction of a constant mixture of gases according to the present invention results in a more even distribution of species. This provides better uniformity across the substrate. In contrast, in the conventional case, where gases are cycled, the time ratio of the etch/deposition cycle depends on the speed of the gases and varies from point to point, affecting the uniformity.

[0029] Further, when using the cycled gas method, the time for the species to arrive at the bottom of the etched trench varies drastically for trenches with different sized openings. This increases the variation in the depths achieved for trenches with different aspect ratios (Aspect Ratio Dependent Etch). In the present case where the gas mixture is constant, the gas composition will be uniform for trenches with different size openings. This also improves the uniformity (microloading effect).

[0030] Further, the method of the present invention provides very high selectivity to the mask material, due to the combination of low power used during the etch and low polarization voltage used during deposition.

[0031] Since numerous changes can be made without departing from the spirit of the invention, it is intended that all matter contained in the foregoing description and shown in the accompanying drawings shall be interpreted as illustrative and not in a limiting sense. For example, the invention is not limited to the list of etchant and passivation gases discussed above. Additional silicon etching gases include CF.sub.4, NF.sub.3, NF3HF, HBr, CCL.sub.4, CF.sub.2Cl.sub.2, CFCl.sub.3, Br.sub.2, Cl.sub.2, 12, HCl, CIF.sub.3 and BCl.sub.3. Also, additional passivation gases include CH.sub.4, CH.sub.2F.sub.2, H.sub.2, C.sub.2H.sub.4, C.sub.3H.sub.8, CH.sub.3Br, C.sub.2F.sub.6, C.sub.2F.sub.4, and C.sub.3F.sub.6.

[0032] In addition, the etch or passivation effect may be achieved by alternating the pressure in the chamber. Specifically, the polymer formation during passivation is effected by the pressure. The higher the pressure the stronger the polymer formation and hence the greater the degree of passivation. In contrast, the lower the pressure the weaker the polymer formation and hence the lower the degree of passivation. Similarly, an increase in pressure during etching increases the etching rate, while a decrease in pressure decreases the etching rate.

* * * * *


uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed