loadpatents
name:-2.3057391643524
name:-0.31793022155762
name:-0.15598106384277
Yamashita; Tenko Patent Filings

Yamashita; Tenko

Patent Applications and Registrations

Patent applications and USPTO patent grants for Yamashita; Tenko.The latest application filed is for "fin top hard mask formation after wafer flipping process".

Company Profile
163.200.200
  • Yamashita; Tenko - San Jose CA
  • Yamashita; Tenko - Schenectady NY
  • YAMASHITA; Tenko - Albany NY
  • Yamashita; Tenko - Shenectady NY
  • - Schenectady NY US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Punch through stopper in bulk finFET device
Grant 11,404,560 - Basker , et al. August 2, 2
2022-08-02
Fin Top Hard Mask Formation After Wafer Flipping Process
App 20220231021 - Zhang; Chen ;   et al.
2022-07-21
Capacitor-based Synapse Network Structure With Metal Shielding Between Outputs
App 20220188607 - Zhang; Chen ;   et al.
2022-06-16
Finfet Complementary Metal-oxide-semiconductor (cmos) Devices
App 20220139787 - Yamashita; Tenko ;   et al.
2022-05-05
Read-only Memory With Vertical Transistors
App 20220108996 - YAMASHITA; TENKO
2022-04-07
Forming a backside ground or power plane in a stacked vertical transport field effect transistor
Grant 11,295,985 - Zhang , et al. April 5, 2
2022-04-05
FinFET complementary metal-oxide-semiconductor (CMOS) devices
Grant 11,244,872 - Yamashita , et al. February 8, 2
2022-02-08
Gate-all-around field effect transistor having multiple threshold voltages
Grant 11,245,020 - Bao , et al. February 8, 2
2022-02-08
Vertical transistors having improved control of top source or drain junctions
Grant 11,239,342 - Cheng , et al. February 1, 2
2022-02-01
VFET bottom epitaxy formed with anchors
Grant 11,171,221 - Zhang , et al. November 9, 2
2021-11-09
Analog Nonvolatile Memory Cells Using Dopant Activation
App 20210343358 - Wu; Heng ;   et al.
2021-11-04
Contact formation for stacked vertical transport field-effect transistors
Grant 11,164,791 - Wu , et al. November 2, 2
2021-11-02
Stacked vertical transport field effect transistor contact formation
Grant 11,164,799 - Wu , et al. November 2, 2
2021-11-02
Finfet Complementary Metal-oxide-semiconductor (cmos) Devices
App 20210327769 - Yamashita; Tenko ;   et al.
2021-10-21
Method For Making Superimposed Transistors
App 20210328014 - REBOH; Shay ;   et al.
2021-10-21
Vertical field-effect transistor with a bottom contact that exhibits low electrical resistance
Grant 11,152,507 - Zhang , et al. October 19, 2
2021-10-19
Analog nonvolatile memory cells using dopant activation
Grant 11,145,380 - Wu , et al. October 12, 2
2021-10-12
Hybrid gate stack integration for stacked vertical transport field-effect transistors
Grant 11,139,215 - Yamashita , et al. October 5, 2
2021-10-05
Reducing Gate Resistance In Stacked Vertical Transport Field Effect Transistors
App 20210280578 - WU; Heng ;   et al.
2021-09-09
Creation Of Stress In The Channel Of A Nanosheet Transistor
App 20210257450 - Loubet; Nicolas ;   et al.
2021-08-19
Gate-all-around field effect transistor having stacked U shaped channels configured to improve the effective width of the transistor
Grant 11,094,784 - Cheng , et al. August 17, 2
2021-08-17
Method for making superimposed transistors
Grant 11,081,547 - Reboh , et al. August 3, 2
2021-08-03
Reducing gate resistance in stacked vertical transport field effect transistors
Grant 11,069,679 - Wu , et al. July 20, 2
2021-07-20
Dielectric isolation for nanosheet devices
Grant 11,062,937 - Cheng , et al. July 13, 2
2021-07-13
Nanosheet transistor with dual inner airgap spacers
Grant 11,056,570 - Xie , et al. July 6, 2
2021-07-06
Creation of stress in the channel of a nanosheet transistor
Grant 11,049,933 - Loubet , et al. June 29, 2
2021-06-29
Composite spacer enabling uniform doping in recessed fin devices
Grant 11,038,041 - Basker , et al. June 15, 2
2021-06-15
Formation of stacked vertical transport field effect transistors
Grant 11,037,905 - Wu , et al. June 15, 2
2021-06-15
Vertical field effect transistor with reduced parasitic capacitance
Grant 11,018,240 - Cheng , et al. May 25, 2
2021-05-25
Stacked Vertical Transistor Memory Cell With Epi Connections
App 20210143159 - Zhang; Chen ;   et al.
2021-05-13
Stacked vertical transistor memory cell with epi connections
Grant 11,004,856 - Zhang , et al. May 11, 2
2021-05-11
Transistors With Uniform Source/drain Epitaxy
App 20210119051 - Cheng; Kangguo ;   et al.
2021-04-22
Buried power and ground in stacked vertical transport field effect transistors
Grant 10,985,064 - Zhang , et al. April 20, 2
2021-04-20
Circuit Wiring Techniques For Stacked Transistor Structures
App 20210111121 - Shao; Dongbing ;   et al.
2021-04-15
Hybrid gate stack integration for stacked vertical transport field-effect transistors
Grant 10,964,603 - Yamashita , et al. March 30, 2
2021-03-30
Circuit wiring techniques for stacked transistor structures
Grant 10,950,545 - Shao , et al. March 16, 2
2021-03-16
Conformal doping for punch through stopper in fin field effect transistor devices
Grant 10,937,867 - Bu , et al. March 2, 2
2021-03-02
Semiconductor device with buried local interconnects
Grant 10,916,468 - Leobandung , et al. February 9, 2
2021-02-09
Uniform bottom spacer for VFET devices
Grant 10,916,650 - Bentley , et al. February 9, 2
2021-02-09
Approach to high-k dielectric feature uniformity
Grant 10,916,640 - Yamashita , et al. February 9, 2
2021-02-09
Dual silicide liner flow for enabling low contact resistance
Grant 10,916,471 - Adusumilli , et al. February 9, 2
2021-02-09
Transistors with uniform source/drain epitaxy
Grant 10,903,365 - Cheng , et al. January 26, 2
2021-01-26
Creation Of Stress In The Channel Of A Nanosheet Transistor
App 20210020743 - Loubet; Nicolas ;   et al.
2021-01-21
Channel orientation of CMOS gate-all-around field-effect transistor devices for enhanced carrier mobility
Grant 10,892,331 - Yamashita , et al. January 12, 2
2021-01-12
Stacked Vertical Transport Field Effect Transistor Contact Formation
App 20200411388 - WU; HENG ;   et al.
2020-12-31
Transistors With Uniform Source/drain Epitaxy
App 20200403099 - Cheng; Kangguo ;   et al.
2020-12-24
Sidewall image transfer nanosheet
Grant 10,872,954 - Leobandung , et al. December 22, 2
2020-12-22
Channel Orientation Of Cmos Gate-all-around Field-effect Transistor Devices For Enhanced Carrier Mobility
App 20200388681 - Yamashita; Tenko ;   et al.
2020-12-10
Buried Power And Ground In Stacked Vertical Transport Field Effect Transistors
App 20200381300 - ZHANG; Chen ;   et al.
2020-12-03
Composite spacer enabling uniform doping in recessed fin devices
Grant 10,854,733 - Basker , et al. December 1, 2
2020-12-01
Hybrid Gate Stack Integration For Stacked Vertical Transport Field-effect Transistors
App 20200365469 - Yamashita; Tenko ;   et al.
2020-11-19
Source and drain contact cut last process to enable wrap-around-contact
Grant 10,840,345 - Greene , et al. November 17, 2
2020-11-17
Forming isolated contacts in a stacked vertical transport field effect transistor (VTFET)
Grant 10,833,081 - Zhang , et al. November 10, 2
2020-11-10
Logic gate designs for 3D monolithic direct stacked VTFET
Grant 10,833,069 - Zhang , et al. November 10, 2
2020-11-10
Dual transport orientation for stacked vertical transport field-effect transistors
Grant 10,833,079 - Yamashita , et al. November 10, 2
2020-11-10
Dual metal-insulator-semiconductor contact structure and formulation method
Grant 10,833,019 - Ando , et al. November 10, 2
2020-11-10
Reducing Gate Resistance In Stacked Vertical Transport Field Effect Transistors
App 20200343241 - WU; Heng ;   et al.
2020-10-29
Formation Of Stacked Vertical Transport Field Effect Transistors
App 20200343222 - WU; Heng ;   et al.
2020-10-29
Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
Grant 10,818,599 - Niimi , et al. October 27, 2
2020-10-27
Nanosheet transistor with optimized junction and cladding detectivity control
Grant 10,818,776 - Cheng , et al. October 27, 2
2020-10-27
Different gate widths for upper and lower transistors in a stacked vertical transport field-effect transistor structure
Grant 10,811,322 - Wu , et al. October 20, 2
2020-10-20
Hybrid Gate Stack Integration For Stacked Vertical Transport Field-effect Transistors
App 20200328127 - Yamashita; Tenko ;   et al.
2020-10-15
Different Gate Widths For Upper And Lower Transistors In A Stacked Vertical Transport Field-effect Transistor Structure
App 20200328120 - Wu; Heng ;   et al.
2020-10-15
Forming Isolated Contacts In A Stacked Vertical Transport Field Effect Transistor (vtfet)
App 20200328209 - Zhang; Chen ;   et al.
2020-10-15
Stacked Vertical Transport Field Effect Transistors With Anchors
App 20200328206 - Zhang; Chen ;   et al.
2020-10-15
Well and punch through stopper formation using conformal doping
Grant 10,804,107 - Leobandung , et al. October 13, 2
2020-10-13
Fin structures with bottom dielectric isolation
Grant 10,804,136 - Cheng , et al. October 13, 2
2020-10-13
Contact formation through low-tempearature epitaxial deposition in semiconductor devices
Grant 10,804,270 - Gluschenkov , et al. October 13, 2
2020-10-13
Gate-all-around Field Effect Transistor Having Stacked U Shaped Channels Configured To Improve The Effective Width Of The Transistor
App 20200321434 - Cheng; Kangguo ;   et al.
2020-10-08
Stacked Vertical Field-effect Transistors With Sacrificial Layer Patterning
App 20200303263 - Zhang; Chen ;   et al.
2020-09-24
Fin field effect transistor fabrication and devices having inverted T-shaped gate
Grant 10,784,365 - Basker , et al. Sept
2020-09-22
Fabrication of vertical field effect transistor structure with controlled gate length
Grant 10,784,357 - Cheng , et al. Sept
2020-09-22
Integration of vertical-transport transistors and planar transistors
Grant 10,777,465 - Xie , et al. Sept
2020-09-15
Stacked vertical field-effect transistors with sacrificial layer patterning
Grant 10,777,468 - Zhang , et al. Sept
2020-09-15
Forming A Backside Ground Or Power Plane In A Stacked Vertical Transport Field Effect Transistor
App 20200286793 - Zhang; Chen ;   et al.
2020-09-10
Circuit Wiring Techniques For Stacked Transistor Structures
App 20200286831 - Shao; Dongbing ;   et al.
2020-09-10
Contact Formation For Stacked Vertical Transport Field-effect Transistors
App 20200273755 - Wu; Heng ;   et al.
2020-08-27
Electrostatic discharge devices and methods of manufacture
Grant 10,748,893 - Bu , et al. A
2020-08-18
Width adjustment of stacked nanowires
Grant 10,749,038 - Cheng , et al. A
2020-08-18
Gate-all-around Field Effect Transistor Having Multiple Threshold Voltages
App 20200258995 - A1
2020-08-13
Punch Through Stopper In Bulk Finfet Device
App 20200259002 - A1
2020-08-13
Conformal doping for punch through stopper in fin field effect transistor devices
Grant 10,741,647 - Bu , et al. A
2020-08-11
Gate-all-around Field Effect Transistor Having Multiple Threshold Voltages
App 20200251568 - Kind Code
2020-08-06
Sidewall Image Transfer Nanosheet
App 20200251556 - Kind Code
2020-08-06
Prevention of extension narrowing in nanosheet field effect transistors
Grant 10,734,502 - Yamashita , et al.
2020-08-04
FinFET with reduced parasitic capacitance
Grant 10,734,477 - Cheng , et al.
2020-08-04
Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
Grant 10,734,499 - Cai , et al.
2020-08-04
Dielectric Isolation For Nanosheet Devices
App 20200227305 - Cheng; Kangguo ;   et al.
2020-07-16
Fin Structures With Bottom Dielectric Isolation
App 20200227306 - Cheng; Kangguo ;   et al.
2020-07-16
Method and apparatus of forming high voltage varactor and vertical transistor on a substrate
Grant 10,714,470 - Cheng , et al.
2020-07-14
Dual Transport Orientation For Stacked Vertical Transport Field-effect Transistors
App 20200212036 - Yamashita; Tenko ;   et al.
2020-07-02
Independent gate FinFET with backside gate contact
Grant 10,700,209 - Hook , et al.
2020-06-30
Contact formation through low-temperature epitaxial deposition in semiconductor devices
Grant 10,692,868 - Gluschenkov , et al.
2020-06-23
Vertical transport field-effect transistor architecture
Grant 10,692,768 - Rubin , et al.
2020-06-23
Uniform Bottom Spacer For Vfet Devices
App 20200194587 - Bentley; Steven ;   et al.
2020-06-18
Contact formation in semiconductor devices
Grant 10,685,961 - Gluschenkov , et al.
2020-06-16
Vertical transistors with improved top source/drain junctions
Grant 10,680,081 - Cheng , et al.
2020-06-09
Method of forming nanosheet transistor structures with reduced parasitic capacitance and improved junction sharpness
Grant 10,679,906 - Cheng , et al.
2020-06-09
Techniques for VFET top source/drain epitaxy
Grant 10,680,064 - Cheng , et al.
2020-06-09
Vertical FET process with controlled gate length and self-aligned junctions
Grant 10,680,082 - Yamashita , et al.
2020-06-09
Approach To High-k Dielectric Feature Uniformity
App 20200161452 - Yamashita; Tenko ;   et al.
2020-05-21
Self-aligned gate cut in direct stacked vertical transport field effect transistor (VTFET)
Grant 10,658,481 - Zhang , et al.
2020-05-19
Self-aligned vertical fin field effect transistor with replacement gate structure
Grant 10,658,246 - Zhang , et al.
2020-05-19
Source And Drain Contact Cut Last Process To Enable Wrap-around-contact
App 20200152756 - GREENE; Andrew ;   et al.
2020-05-14
Source And Drain Contact Cut Last Process To Enable Wrap-around-contact
App 20200152751 - Greene; Andrew ;   et al.
2020-05-14
Nanosheet Transistor With Dual Inner Airgap Spacers
App 20200144388 - Xie; Ruilong ;   et al.
2020-05-07
Vertical Field-effect Transistor With A Bottom Contact That Exhibits Low Electrical Resistance
App 20200144416 - Zhang; Chen ;   et al.
2020-05-07
Surface area and Schottky barrier height engineering for contact trench epitaxy
Grant 10,643,894 - Fronheiser , et al.
2020-05-05
Surface area and Schottky barrier height engineering for contact trench epitaxy
Grant 10,643,893 - Fronheiser , et al.
2020-05-05
Vertical Field Effect Transistor With Reduced Parasitic Capacitance
App 20200135884 - Cheng; Kangguo ;   et al.
2020-04-30
Self-aligned Gate Cut In Direct Stacked Vertical Transport Field Effect Transistor (vtfet)
App 20200135877 - Zhang; Chen ;   et al.
2020-04-30
Device Variation Control Of Vertical Transport Fin Field Effect Transistor Devices By Selective Oxide Deposition For Shallow Tre
App 20200135873 - Wu; Heng ;   et al.
2020-04-30
Sidewall image transfer nanosheet
Grant 10,629,680 - Leobandung , et al.
2020-04-21
Punch through stopper in bulk finFET device
Grant 10,629,709 - Basker , et al.
2020-04-21
Uniform bottom spacer for VFET devices
Grant 10,622,475 - Bentley , et al.
2020-04-14
VFET CMOS dual epitaxy integration
Grant 10,615,277 - Cheng , et al.
2020-04-07
Fin formation for semiconductor device
Grant 10,615,255 - Fan , et al.
2020-04-07
Bulk to silicon on insulator device
Grant 10,608,080 - Hook , et al.
2020-03-31
Well and punch through stopper formation using conformal doping
Grant 10,607,838 - Leobandung , et al.
2020-03-31
Method For Making Superimposed Transistors
App 20200098859 - Reboh; Shay ;   et al.
2020-03-26
Method and apparatus of forming high voltage varactor and vertical transistor on a substrate
Grant 10,600,778 - Cheng , et al.
2020-03-24
Approach to high-k dielectric feature uniformity
Grant 10,600,887 - Yamashita , et al.
2020-03-24
Gate-all-around field effect transistor having multiple threshold voltages
Grant 10,586,854 - Bao , et al.
2020-03-10
Spacer chamfering gate stack scheme
Grant 10,586,855 - Cho , et al.
2020-03-10
Prevention Of Extension Narrowing In Nanosheet Field Effect Transistors
App 20200075744 - Yamashita; Tenko ;   et al.
2020-03-05
Well And Punch Through Stopper Formation Using Conformal Doping
App 20200066531 - Leobandung; Effendi ;   et al.
2020-02-27
Dual Silicide Liner Flow For Enabling Low Contact Resistance
App 20200066583 - Adusumilli; Praneet ;   et al.
2020-02-27
Self-aligned Vertical Fin Field Effect Transistor With Replacement Gate Structure
App 20200066599 - Zhang; Chen ;   et al.
2020-02-27
Dual Metal-insulator-semiconductor Contact Structure And Formulation Method
App 20200066638 - Ando; Takashi ;   et al.
2020-02-27
Vertical field effect transistor with reduced parasitic capacitance
Grant 10,566,442 - Cheng , et al. Feb
2020-02-18
Nanosheet transitor with optimized junction and cladding defectivity control
Grant 10,566,443 - Cheng , et al. Feb
2020-02-18
Nanosheet transistor with dual inner airgap spacers
Grant 10,566,438 - Xie , et al. Feb
2020-02-18
Nanosheet Transitor With Optimized Junction And Cladding Defectivity Control
App 20200044053 - Cheng; Kangguo ;   et al.
2020-02-06
Dual silicide liner flow for enabling low contact resistance
Grant 10,546,776 - Adusumilli , et al. Ja
2020-01-28
Nanosheet transistor with optimized junction and cladding defectivity control
Grant 10,546,942 - Cheng , et al. Ja
2020-01-28
Transistor Structures With Reduced Parasitic Capacitance And Improved Junction Sharpness
App 20200027959 - Cheng; Kangguo ;   et al.
2020-01-23
Uniform Bottom Spacer For Vfet Devices
App 20200027983 - Bentley; Steven ;   et al.
2020-01-23
Prevention of extension narrowing in nanosheet field effect transistors
Grant 10,541,318 - Yamashita , et al. Ja
2020-01-21
Dual metal-insulator-semiconductor contact structure and formulation method
Grant 10,535,606 - Ando , et al. Ja
2020-01-14
Vfet Cmos Dual Epitaxy Integration
App 20200006554 - Cheng; Kangguo ;   et al.
2020-01-02
Vertical Transistors Having Improved Control Of Top Source Or Drain Junctions
App 20200006528 - Cheng; Kangguo ;   et al.
2020-01-02
CMOS VFET contacts with trench solid and liquid phase epitaxy
Grant 10,510,617 - Gluschenkov , et al. Dec
2019-12-17
Vfet Cmos Dual Epitaxy Integration
App 20190363189 - Cheng; Kangguo ;   et al.
2019-11-28
Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors
Grant 10,490,653 - Fan , et al. Nov
2019-11-26
Conformal Doping For Punch Through Stopper In Fin Field Effect Transistor Devices
App 20190341458 - Bu; Huiming ;   et al.
2019-11-07
Forming A Combination Of Long Channel Devices And Vertical Transport Fin Field Effect Transistors On The Same Substrate
App 20190341489 - Chi; Cheng ;   et al.
2019-11-07
Conformal Doping For Punch Through Stopper In Fin Field Effect Transistor Devices
App 20190341457 - Bu; Huiming ;   et al.
2019-11-07
VFET CMOS dual epitaxy integration
Grant 10,468,525 - Cheng , et al. No
2019-11-05
Forming A Combination Of Long Channel Devices And Vertical Transport Fin Field Effect Transistors On The Same Substrate
App 20190334033 - Chi; Cheng ;   et al.
2019-10-31
Logic Gate Designs for 3D Monolithic Direct Stacked VTFET
App 20190326279 - Zhang; Chen ;   et al.
2019-10-24
Conformal doping for punch through stopper in fin field effect transistor devices
Grant 10,453,922 - Bu , et al. Oc
2019-10-22
Reduced capacitance in vertical transistors by preventing excessive overlap between the gate and the source/drain
Grant 10,453,939 - Cheng , et al. Oc
2019-10-22
VFET Bottom Epitaxy Formed with Anchors
App 20190312129 - Zhang; Chen ;   et al.
2019-10-10
Integration of vertical-transport transistors and electrical fuses
Grant 10,439,031 - Xie , et al. O
2019-10-08
Flipped VFET with self-aligned junctions and controlled gate length
Grant 10,439,045 - Yamashita , et al. O
2019-10-08
Nanosheet Transistor With Dual Inner Airgap Spacers
App 20190305104 - Xie; Ruilong ;   et al.
2019-10-03
Air gap spacer formation for nano-scale semiconductor devices
Grant 10,418,277 - Cheng , et al. Sept
2019-09-17
Forming a combination of long channel devices and vertical transport Fin field effect transistors on the same substrate
Grant 10,418,485 - Chi , et al. Sept
2019-09-17
Cmos Vfet Contacts With Trench Solid And Liquid Phase Epitaxy
App 20190279913 - GLUSCHENKOV; Oleg ;   et al.
2019-09-12
Forming a combination of long channel devices and vertical transport fin field effect transistors on the same substrate
Grant 10,411,127 - Chi , et al. Sept
2019-09-10
Vertical Fet Process With Controlled Gate Length And Self-aligned Junctions
App 20190267474 - YAMASHITA; TENKO ;   et al.
2019-08-29
Extended Contact Area Using Undercut Silicide Extensions
App 20190267464 - Leobandung; Effendi ;   et al.
2019-08-29
Air Gap Spacer Formation For Nano-scale Semiconductor Devices
App 20190267279 - Cheng; Kangguo ;   et al.
2019-08-29
Test structure macro for monitoring dimensions of deep trench isolation regions and local trench isolation regions
Grant 10,396,000 - Yamashita , et al. A
2019-08-27
Vertical transistors with improved top source/drain junctions
Grant 10,396,208 - Cheng , et al. A
2019-08-27
Parasitic capacitance reducing contact structure in a finFET
Grant 10,396,183 - Wang , et al. A
2019-08-27
Method of forming improved vertical FET process with controlled gate length and self-aligned junctions
Grant 10,396,178 - Yamashita , et al. A
2019-08-27
Prevention of extension narrowing in nanosheet field effect transistors
Grant 10,396,177 - Yamashita , et al. A
2019-08-27
Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
Grant 10,388,754 - Cai , et al. A
2019-08-20
Parasitic capacitance reducing contact structure in a finFET
Grant 10,388,768 - Wang , et al. A
2019-08-20
Parasitic capacitance reducing contact structure in a finFET
Grant 10,388,769 - Wang , et al. A
2019-08-20
Stacked nanowire device width adjustment by gas cluster ion beam (GCIB)
Grant 10,388,731 - Cheng , et al. A
2019-08-20
Multiple-threshold Nanosheet Transistors
App 20190252495 - Bao; Ruqiang ;   et al.
2019-08-15
Vertically stacked multi-channel transistor structure
Grant 10,381,273 - Cheng , et al. A
2019-08-13
Logic gate designs for 3D monolithic direct stacked VTFET
Grant 10,381,346 - Zhang , et al. A
2019-08-13
VFET bottom epitaxy formed with anchors
Grant 10,374,060 - Zhang , et al.
2019-08-06
Fin field effect transistor complementary metal oxide semiconductor with dual strained channels with solid phase doping
Grant 10,374,064 - Cheng , et al.
2019-08-06
Nanosheet devices with CMOS epitaxy and method of forming
Grant 10,366,931 - Xie , et al. July 30, 2
2019-07-30
Fabrication of vertical field effect transistor structure with controlled gate length
Grant 10,367,069 - Cheng , et al. July 30, 2
2019-07-30
Logic Gate Designs for 3D Monolithic Direct Stacked VTFET
App 20190229117 - Zhang; Chen ;   et al.
2019-07-25
Method and apparatus of fabricating source and drain epitaxy for vertical field effect transistor
Grant 10,361,315 - Yeh , et al.
2019-07-23
Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
Grant 10,361,210 - Basker , et al.
2019-07-23
Contact Formation In Semiconductor Devices
App 20190221565 - Gluschenkov; Oleg ;   et al.
2019-07-18
Support for long channel length nanowire transistors
Grant 10,354,960 - Balakrishnan , et al. July 16, 2
2019-07-16
VFET Bottom Epitaxy Formed with Anchors
App 20190214483 - Zhang; Chen ;   et al.
2019-07-11
Integration Of Vertical-transport Transistors And Planar Transistors
App 20190214307 - Xie; Ruilong ;   et al.
2019-07-11
Extended contact area using undercut silicide extensions
Grant 10,347,739 - Leobandung , et al. July 9, 2
2019-07-09
Nanosheet transistors on bulk material
Grant 10,347,719 - Cheng , et al. July 9, 2
2019-07-09
Split fin field effect transistor enabling back bias on fin type field effect transistors
Grant 10,347,765 - Basker , et al. July 9, 2
2019-07-09
Multiple-threshold nanosheet transistors
Grant 10,340,340 - Bao , et al.
2019-07-02
H-shaped VFET with increased current drivability
Grant 10,340,364 - Zhang , et al.
2019-07-02
Bulk to silicon on insulator device
Grant 10,332,959 - Hook , et al.
2019-06-25
Inner spacer for nanosheet transistors
Grant 10,332,961 - Cheng , et al.
2019-06-25
Replacement metal gate stack for diffusion prevention
Grant 10,332,971 - Ando , et al.
2019-06-25
Self-aligned Vertical Field-effect Transistor With Epitaxially Grown Bottom And Top Source Drain Regions
App 20190189522 - Cheng; Kangguo ;   et al.
2019-06-20
Low Resistance Source Drain Contact Formation with Trench Metastable Alloys and Laser Annealing
App 20190181012 - Gluschenkov; Oleg ;   et al.
2019-06-13
Semiconductor device including fin having condensed channel region
Grant 10,319,811 - He , et al.
2019-06-11
Contact formation in semiconductor devices
Grant 10,319,722 - Gluschenkov , et al.
2019-06-11
Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors
Grant 10,319,835 - Fan , et al.
2019-06-11
Integrated circuit structure having VFET and embedded memory structure and method of forming same
Grant 10,319,731 - Xie , et al.
2019-06-11
Fin field effect transistor fabrication and devices having inverted T-shaped gate
Grant 10,319,840 - Basker , et al.
2019-06-11
Localized fin width scaling using a hydrogen anneal
Grant 10,312,377 - Basker , et al.
2019-06-04
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20190165142 - Basker; Veeraraghavan S. ;   et al.
2019-05-30
Finfet With Reduced Parasitic Capacitance
App 20190165095 - Cheng; Kangguo ;   et al.
2019-05-30
Electrostatic Discharge Devices And Methods Of Manufacture
App 20190157260 - BU; Huiming ;   et al.
2019-05-23
Hybrid Source And Drain Contact Formation Using Metal Liner And Metal Insulator Semiconductor Contacts
App 20190157413 - Niimi; Hiroaki ;   et al.
2019-05-23
Self-aligned vertical field-effect transistor with epitaxially grown bottom and top source drain regions
Grant 10,297,507 - Cheng , et al.
2019-05-21
Methods of forming a gate contact structure for a transistor
Grant 10,297,452 - Xie , et al.
2019-05-21
Stacked vertical NFET and PFET
Grant 10,297,513 - Yamashita , et al.
2019-05-21
Method And Apparatus Of Forming High Voltage Varactor And Vertical Transistor On A Substrate
App 20190148362 - Cheng; Kangguo ;   et al.
2019-05-16
Contact Formation Through Low-tempearature Epitaxial Deposition In Semiconductor Devices
App 20190148377 - Gluschenkov; Oleg ;   et al.
2019-05-16
H-shaped Vfet With Increased Current Drivability
App 20190148516 - Zhang; Chen ;   et al.
2019-05-16
Method And Apparatus Of Forming High Voltage Varactor And Vertical Transistor On A Substrate
App 20190148360 - Cheng; Kangguo ;   et al.
2019-05-16
Techniques for VFET Top Source/Drain Epitaxy
App 20190140052 - Cheng; Kangguo ;   et al.
2019-05-09
Test structure macro for monitoring dimensions of deep trench isolation regions and local trench isolation regions
Grant 10,283,423 - Yamashita , et al.
2019-05-07
Approach To High-k Dielectric Feature Uniformity
App 20190131435 - Yamashita; Tenko ;   et al.
2019-05-02
Air gap adjacent a bottom source/drain region of vertical transistor device
Grant 10,276,659 - Xie , et al.
2019-04-30
Stacked nanosheet field-effect transistor with air gap spacers
Grant 10,269,983 - Frougier , et al.
2019-04-23
Nanosheet transistors having thin and thick gate dielectric material
Grant 10,269,920 - Cheng , et al.
2019-04-23
Self-aligned Vertical Field-effect Transistor With Epitaxially Grown Bottom And Top Source Drain Regions
App 20190115452 - Cheng; Kangguo ;   et al.
2019-04-18
Contact Formation Through Low-tempearature Epitaxial Deposition In Semiconductor Devices
App 20190115347 - Gluschenkov; Oleg ;   et al.
2019-04-18
Forming vertical transistors and metal-insulator-metal capacitors on the same chip
Grant 10,256,231 - Cheng , et al.
2019-04-09
Method Of Forming Vertical Field Effect Transistors With Different Gate Lengths And A Resulting Structure
App 20190103319 - Qi; Yi ;   et al.
2019-04-04
Low resistance source drain contact formation with trench metastable alloys and laser annealing
Grant 10,249,502 - Gluschenkov , et al.
2019-04-02
Method of forming vertical field effect transistors with different gate lengths and a resulting structure
Grant 10,249,538 - Qi , et al.
2019-04-02
Methods Of Forming A Gate Contact Structure For A Transistor
App 20190096677 - Xie; Ruilong ;   et al.
2019-03-28
FinFET with reduced parasitic capacitance
Grant 10,243,042 - Cheng , et al.
2019-03-26
Vertical field-effect transistors with controlled dimensions
Grant 10,236,363 - Xie , et al.
2019-03-19
Epitaxial and silicide layer formation at top and bottom surfaces of semiconductor fins
Grant 10,229,987 - Cheng , et al.
2019-03-12
Electrostatic discharge devices and methods of manufacture
Grant 10,229,905 - Bu , et al.
2019-03-12
Pure boron for silicide contact
Grant 10,229,982 - Chen , et al.
2019-03-12
Mirror contact capacitor
Grant 10,229,915 - Hook , et al.
2019-03-12
Forming a contact for a tall fin transistor
Grant 10,224,207 - Cheng , et al.
2019-03-05
Punch through stopper in bulk finFET device
Grant 10,224,420 - Basker , et al.
2019-03-05
Fin field effect transistor fabrication and devices having inverted T-shaped gate
Grant 10,224,417 - Basker , et al.
2019-03-05
Vertical transistor devices with different effective gate lengths
Grant 10,217,672 - Xie , et al. Feb
2019-02-26
Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
Grant 10,211,207 - Adusumilli , et al. Feb
2019-02-19
FinFET devices wit multiple channel lengths
Grant 10,211,225 - Leobandung , et al. Feb
2019-02-19
Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
Grant 10,211,094 - Niimi , et al. Feb
2019-02-19
Integrated Circuit Structure Having Vfet And Embedded Memory Structure And Method Of Forming Same
App 20190051659 - Xie; Ruilong ;   et al.
2019-02-14
Techniques for VFET top source/drain epitaxy
Grant 10,199,464 - Cheng , et al. Fe
2019-02-05
Controlling self-aligned gate length in vertical transistor replacement gate flow
Grant 10,199,480 - Xie , et al. Fe
2019-02-05
Nanosheet Transitor With Optimized Junction And Cladding Defectivity Control
App 20190035913 - Cheng; Kangguo ;   et al.
2019-01-31
Nanosheet Transitor With Optimized Junction And Cladding Defectivity Control
App 20190035911 - Cheng; Kangguo ;   et al.
2019-01-31
Nanosheet Devices With Cmos Epitaxy And Method Of Forming
App 20190019733 - Xie; Ruilong ;   et al.
2019-01-17
Etch stop for airgap protection
Grant 10,177,237 - Cheng , et al. J
2019-01-08
FinFET with reduced parasitic capacitance
Grant 10,177,223 - Cheng , et al. J
2019-01-08
Sidewall Image Transfer Nanosheet
App 20190006463 - Leobandung; Effendi ;   et al.
2019-01-03
Method of forming vertical transport fin field effect transistor with high-K dielectric feature uniformity
Grant 10,170,588 - Yamashita , et al. J
2019-01-01
Punch through stopper in bulk finFET device
Grant 10,170,594 - Basker , et al. J
2019-01-01
Forming a contact for a tall fin transistor
Grant 10,170,319 - Cheng , et al. J
2019-01-01
Sidewall image transfer nanosheet
Grant 10,170,551 - Leobandung , et al. J
2019-01-01
Uniform bottom spacer for vertical field effect transistor
Grant 10,170,582 - Belyansky , et al. J
2019-01-01
Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
Grant 10,170,574 - Niimi , et al. J
2019-01-01
Fabrication of vertical doped fins for complementary metal oxide semiconductor field effect transistors
Grant 10,170,479 - Cheng , et al. J
2019-01-01
Localized and self-aligned punch through stopper doping for finFET
Grant 10,170,558 - Leobandung , et al. J
2019-01-01
Forming a gate contact in the active area
Grant 10,170,583 - Cheng , et al. J
2019-01-01
Finfet including improved epitaxial topology
Grant 10,164,110 - Basker , et al. Dec
2018-12-25
Electrostatic discharge devices and methods of manufacture
Grant 10,157,908 - Bu , et al. Dec
2018-12-18
Uniform bottom spacers in vertical field effect transistors
Grant 10,157,798 - Chi , et al. Dec
2018-12-18
Epitaxial and silicide layer formation at top and bottom surfaces of semiconductor fins
Grant 10,158,003 - Cheng , et al. Dec
2018-12-18
Vertical pillar-type field effect transistor and method
Grant 10,158,021 - Xie , et al. Dec
2018-12-18
Method for making a dipole-based contact structure to reduce the metal-semiconductor contact resistance in MOSFETs
Grant 10,153,201 - Bu , et al. Dec
2018-12-11
Width Adjustment of Stacked Nanowires
App 20180351002 - Cheng; Kangguo ;   et al.
2018-12-06
Punch Through Stopper In Bulk Finfet Device
App 20180350959 - Basker; Veeraraghavan S. ;   et al.
2018-12-06
Vertical FET Process with Controlled Gate Length and Self-aligned Junctions
App 20180350951 - YAMASHITA; TENKO ;   et al.
2018-12-06
Fully silicided linerless middle-of-line (MOL) contact
Grant 10,147,815 - Rubin , et al. De
2018-12-04
Fabrication Of Vertical Field Effect Transistor Structure With Controlled Gate Length
App 20180342592 - Cheng; Kangguo ;   et al.
2018-11-29
Integration Of Vertical-transport Transistors And High-voltage Transistors
App 20180342507 - Xie; Ruilong ;   et al.
2018-11-29
Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
Grant 10,141,308 - Adusumilli , et al. Nov
2018-11-27
Embedded Bottom Metal Contact Formed By A Self-aligned Contact Process For Vertical Transistors
App 20180337257 - Fan; Su Chen ;   et al.
2018-11-22
Embedded Bottom Metal Contact Formed By A Self-aligned Contact Process For Vertical Transistors
App 20180337260 - Fan; Su Chen ;   et al.
2018-11-22
Forming A Combination Of Long Channel Devices And Vertical Transport Fin Field Effect Transistors On The Same Substrate
App 20180337278 - Chi; Cheng ;   et al.
2018-11-22
Nanowire semiconductor device including lateral-etch barrier region
Grant 10,134,864 - Basker , et al. November 20, 2
2018-11-20
Dual Metal-insulator-semiconductor Contact Structure And Formulation Method
App 20180331040 - Ando; Takashi ;   et al.
2018-11-15
Forming A Combination Of Long Channel Devices And Vertical Transport Fin Field Effect Transistors On The Same Substrate
App 20180331217 - Chi; Cheng ;   et al.
2018-11-15
Nanosheet Transistors On Bulk Material
App 20180331179 - Cheng; Kangguo ;   et al.
2018-11-15
Stacked Nanosheet Field-effect Transistor With Airgap Spacers
App 20180331232 - Frougier; Julien ;   et al.
2018-11-15
Gate-all-around field effect transistor having multiple threshold voltages
Grant 10,128,347 - Bao , et al. November 13, 2
2018-11-13
Independent gate FinFET with backside gate contact
Grant 10,128,377 - Hook , et al. November 13, 2
2018-11-13
Nanowire semiconductor device including lateral-etch barrier region
Grant 10,128,335 - Basker , et al. November 13, 2
2018-11-13
Vertical field effect transistor with metallic bottom region
Grant 10,121,877 - Hook , et al. November 6, 2
2018-11-06
Prevention Of Extension Narrowing In Nanosheet Field Effect Transistors
App 20180315829 - Yamashita; Tenko ;   et al.
2018-11-01
Prevention Of Extension Narrowing In Nanosheet Field Effect Transistors
App 20180315828 - Yamashita; Tenko ;   et al.
2018-11-01
Air gap spacer formation for nano-scale semiconductor devices
Grant 10,115,629 - Cheng , et al. October 30, 2
2018-10-30
Gate-all-around Field Effect Transistor Having Multiple Threshold Voltages
App 20180308945 - Bao; Ruqiang ;   et al.
2018-10-25
Air Gap Adjacent A Bottom Source/drain Region Of Vertical Transistor Device
App 20180308930 - Xie; Ruilong ;   et al.
2018-10-25
Nanosheet devices with CMOS epitaxy and method of forming
Grant 10,109,533 - Xie , et al. October 23, 2
2018-10-23
Punch through stopper in bulk FinFET device
Grant 10,109,723 - Basker , et al. October 23, 2
2018-10-23
Finfet Devices With Multiple Channel Lengths
App 20180301469 - Leobandung; Effendi ;   et al.
2018-10-18
Vertical Field Effect Transistor With Reduced Parasitic Capacitance
App 20180301541 - Cheng; Kangguo ;   et al.
2018-10-18
Vertical transistor having buried contact, and contacts using work function metals and silicides
Grant 10,103,247 - Xie , et al. October 16, 2
2018-10-16
Punch through stopper in bulk finFET device
Grant 10,103,251 - Basker , et al. October 16, 2
2018-10-16
Vertical Field Effect Transistor With Reduced Parasitic Capacitance
App 20180294340 - Cheng; Kangguo ;   et al.
2018-10-11
Vertical field effect transistor with reduced parasitic capacitance
Grant 10,096,692 - Cheng , et al. October 9, 2
2018-10-09
Stacked nanowire device width adjustment by gas cluster ion beam (GCIB)
Grant 10,096,674 - Cheng , et al. October 9, 2
2018-10-09
Method to improve finFET cut overlay
Grant 10,090,165 - Leobandung , et al. October 2, 2
2018-10-02
Forming a combination of long channel devices and vertical transport fin field effect transistors on the same substrate
Grant 10,090,410 - Chi , et al. October 2, 2
2018-10-02
Contact Formation In Semiconductor Devices
App 20180277541 - Gluschenkov; Oleg ;   et al.
2018-09-27
Unmerged Epitaxial Process For Finfet Devices With Aggressive Fin Pitch Scaling
App 20180277648 - Cai; Xiuyu ;   et al.
2018-09-27
Punch through stopper in bulk finFET device
Grant 10,084,070 - Basker , et al. September 25, 2
2018-09-25
Method and structure for improving FinFET with epitaxy source/drain
Grant 10,084,041 - Cheng , et al. September 25, 2
2018-09-25
Vertical Field-effect Transistors With Controlled Dimensions
App 20180269312 - Xie; Ruilong ;   et al.
2018-09-20
Forming A Combination Of Long Channel Devices And Vertical Transport Fin Field Effect Transistors On The Same Substrate
App 20180269320 - Chi; Cheng ;   et al.
2018-09-20
Fabrication of vertical field effect transistor structure with controlled gate length
Grant 10,079,292 - Cheng , et al. September 18, 2
2018-09-18
Finfet devices with multiple channel lengths
Grant 10,079,249 - Leobandung , et al. September 18, 2
2018-09-18
Air Gap Spacer Formation For Nano-scale Semiconductor Devices
App 20180261494 - Cheng; Kangguo ;   et al.
2018-09-13
Low Resistance Source/drain Contacts For Complementary Metal Oxide Semiconductor (cmos) Devices
App 20180261597 - Adusumilli; Praneet ;   et al.
2018-09-13
Low Resistance Source/drain Contacts For Complementary Metal Oxide Semiconductor (cmos) Devices
App 20180261598 - Adusumilli; Praneet ;   et al.
2018-09-13
FinFET devices with multiple channel lengths
Grant 10,068,922 - Leobandung , et al. September 4, 2
2018-09-04
Width adjustment of stacked nanowires
Grant 10,069,015 - Cheng , et al. September 4, 2
2018-09-04
Independent Gate Finfet With Backside Gate Contact
App 20180248042 - Hook; Terence B. ;   et al.
2018-08-30
Composite Spacer Enabling Uniform Doping In Recessed Fin Devices
App 20180248017 - Basker; Veeraraghavan S. ;   et al.
2018-08-30
Independent Gate Finfet With Backside Gate Contact
App 20180248041 - Hook; Terence B. ;   et al.
2018-08-30
Techniques for VFET Top Source/Drain Epitaxy
App 20180240873 - Cheng; Kangguo ;   et al.
2018-08-23
Methods Of Forming Vertical Transistor Devices With Different Effective Gate Lengths And The Resulting Devices
App 20180240715 - Xie; Ruilong ;   et al.
2018-08-23
Spacer Chamfering Gate Stack Scheme
App 20180240884 - Cho; Hyun-Jin ;   et al.
2018-08-23
Dual metal-insulator-semiconductor contact structure and formulation method
Grant 10,056,334 - Ando , et al. August 21, 2
2018-08-21
Silicon nitride fill for PC gap regions to increase cell density
Grant 10,056,378 - Guo , et al. August 21, 2
2018-08-21
Structure and method to form a FinFET device
Grant 10,056,408 - Bryant , et al. August 21, 2
2018-08-21
Nanosheet Transistors On Bulk Material
App 20180233557 - Cheng; Kangguo ;   et al.
2018-08-16
Nanosheet Transistors Having Thin And Thick Gate Dielectric Material
App 20180233572 - Cheng; Kangguo ;   et al.
2018-08-16
Nanosheet transistors on bulk material
Grant 10,050,107 - Cheng , et al. August 14, 2
2018-08-14
Vertical Pillar-type Field Effect Transistor And Method
App 20180226503 - Xie; Ruilong ;   et al.
2018-08-09
Parasitic capacitance reducing contact structure in a finFET
Grant 10,038,076 - Wang , et al. July 31, 2
2018-07-31
Integrated single-gated vertical field effect transistor (VFET) and independent double-gated VFET
Grant 10,037,919 - Xie , et al. July 31, 2
2018-07-31
Stacked Nanowire Device Width Adjustment By Gas Cluster Ion Beam (gcib)
App 20180212024 - Cheng; Kangguo ;   et al.
2018-07-26
Well And Punch Through Stopper Formation Using Conformal Doping
App 20180211838 - Leobandung; Effendi ;   et al.
2018-07-26
Method and structure to fabricate closely packed hybrid nanowires at scaled pitch
Grant 10,032,677 - Basker , et al. July 24, 2
2018-07-24
Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
Grant 10,032,884 - Cai , et al. July 24, 2
2018-07-24
Vertical Transistors With Improved Top Source/drain Junctions
App 20180204951 - Cheng; Kangguo ;   et al.
2018-07-19
Vertical Transistors With Improved Top Source/drain Junctions
App 20180204950 - Cheng; Kangguo ;   et al.
2018-07-19
Forming Vertical Transistors And Metal-insulator-metal Capacitors On The Same Chip
App 20180204833 - Cheng; Kangguo ;   et al.
2018-07-19
Embedded bottom metal contact formed by a self-aligned contact process for vertical transistors
Grant 10,020,381 - Fan , et al. July 10, 2
2018-07-10
Gate-all-around Field Effect Transistor Having Multiple Threshold Voltages
App 20180190782 - Bao; Ruqiang ;   et al.
2018-07-05
Fin Field Effect Transistor Complementary Metal Oxide Semiconductor With Dual Strained Channels With Solid Phase Doping
App 20180182867 - Cheng; Kangguo ;   et al.
2018-06-28
High-k Layer Chamfering To Prevent Oxygen Ingress In Replacement Metal Gate (rmg) Process
App 20180145150 - Ando; Takashi ;   et al.
2018-05-24
Fabrication Of Vertical Field Effect Transistor Structure With Controlled Gate Length
App 20180138277 - Cheng; Kangguo ;   et al.
2018-05-17
Inner Spacer For Nanosheet Transistors
App 20180122900 - Cheng; Kangguo ;   et al.
2018-05-03
Integration Of Vertical-transport Transistors And Electrical Fuses
App 20180122913 - Xie; Ruilong ;   et al.
2018-05-03
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20180122923 - Basker; Veeraraghavan S. ;   et al.
2018-05-03
Multiple-threshold Nanosheet Transistors
App 20180114833 - Bao; Ruqiang ;   et al.
2018-04-26
Split Fin Field Effect Transistor Enabling Back Bias On Fin Type Field Effect Transistors
App 20180108772 - Basker; Veeraraghavan S. ;   et al.
2018-04-19
Mirror Contact Capacitor
App 20180102367 - HOOK; Terence B. ;   et al.
2018-04-12
Reduced Capacitance In Vertical Transistors By Preventing Excessive Overlap Between The Gate And The Source/drain
App 20180097086 - Cheng; Kangguo ;   et al.
2018-04-05
Electrostatic Discharge Devices And Methods Of Manufacture
App 20180090484 - BU; Huiming ;   et al.
2018-03-29
Width Adjustment of Stacked Nanowires
App 20180090624 - Cheng; Kangguo ;   et al.
2018-03-29
Controlling Self-aligned Gate Length In Vertical Transistor Replacement Gate Flow
App 20180090598 - XIE; Ruilong ;   et al.
2018-03-29
Bulk To Silicon On Insulator Device
App 20180083134 - Hook; Terence B. ;   et al.
2018-03-22
Replacement Metal Gate Stack For Diffusion Prevention
App 20180083117 - Ando; Takashi ;   et al.
2018-03-22
Parasitic Capacitance Reducing Contact Structure In A Finfet
App 20180083124 - Wang; Miaomiao ;   et al.
2018-03-22
Parasitic Capacitance Reducing Contact Structure In A Finfet
App 20180076303 - Wang; Miaomiao ;   et al.
2018-03-15
Parasitic Capacitance Reducing Contact Structure In A Finfet
App 20180076304 - Wang; Miaomiao ;   et al.
2018-03-15
Epitaxial And Silicide Layer Formation At Top And Bottom Surfaces Of Semiconductor Fins
App 20180076299 - Cheng; Kangguo ;   et al.
2018-03-15
Silicon Nitride Fill For Pc Gap Regions To Increase Cell Density
App 20180069002 - Guo; Dechao ;   et al.
2018-03-08
Forming A Contact For A Tall Fin Transistor
App 20180068858 - Cheng; Kangguo ;   et al.
2018-03-08
Composite Spacer Enabling Uniform Doping In Recessed Fin Devices
App 20180061966 - Basker; Veeraraghavan S. ;   et al.
2018-03-01
Etch Stop For Airgap Protection
App 20180053831 - Cheng; Kangguo ;   et al.
2018-02-22
Localized And Self-aligned Punch Through Stopper Doping For Finfet
App 20180047812 - Leobandung; Effendi ;   et al.
2018-02-15
Air Gap Spacer Formation For Nano-scale Semiconductor Devices
App 20180047615 - Cheng; Kangguo ;   et al.
2018-02-15
Hybrid Source And Drain Contact Formation Using Metal Liner And Metal Insulator Semiconductor Contacts
App 20180047824 - Niimi; Hiroaki ;   et al.
2018-02-15
Air Gap Spacer Formation For Nano-scale Semiconductor Devices
App 20180047617 - Cheng; Kangguo ;   et al.
2018-02-15
Parasitic Capacitance Reducing Contact Structure In A Finfet
App 20180040719 - Wang; Miaomiao ;   et al.
2018-02-08
Method, Apparatus, And System For Reducing Dopant Concentrations In Channel Regions Of Finfet Devices
App 20180033789 - Bentley; Steven ;   et al.
2018-02-01
Punch Through Stopper In Bulk Finfet Device
App 20180026120 - Basker; Veeraraghavan S. ;   et al.
2018-01-25
Stacked Nanowire Device Width Adjustment By Gas Cluster Ion Beam (gcib)
App 20180019305 - Cheng; Kangguo ;   et al.
2018-01-18
Surface Area And Schottky Barrier Height Engineering For Contact Trench Epitaxy
App 20180006141 - Fronheiser; Jody ;   et al.
2018-01-04
Surface Area And Schottky Barrier Height Engineering For Contact Trench Epitaxy
App 20180006140 - Fronheiser; Jody ;   et al.
2018-01-04
Fabrication Of Vertical Doped Fins For Complementary Metal Oxide Semiconductor Field Effect Transistors
App 20180006037 - Cheng; Kangguo ;   et al.
2018-01-04
Mirrored Contact Cmos With Self-aligned Source, Drain, And Back-gate
App 20180006126 - Hook; Terence B. ;   et al.
2018-01-04
Fabrication Of Vertical Doped Fins For Complementary Metal Oxide Semiconductor Field Effect Transistors
App 20180006036 - Cheng; Kangguo ;   et al.
2018-01-04
Mirror Contact Capacitor
App 20170373070 - HOOK; Terence B. ;   et al.
2017-12-28
Field Effect Transistors With Self-aligned Extension Portions Of Epitaxial Active Regions
App 20170365706 - Leobandung; Effendi ;   et al.
2017-12-21
Finfet With Reduced Parasitic Capacitance
App 20170365658 - Cheng; Kangguo ;   et al.
2017-12-21
Finfet With Reduced Parasitic Capacitance
App 20170365659 - Cheng; Kangguo ;   et al.
2017-12-21
Method And Structure For Improving Finfet With Epitaxy Source/drain
App 20170358643 - Cheng; Kangguo ;   et al.
2017-12-14
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20170352659 - Basker; Veeraraghavan S. ;   et al.
2017-12-07
Fin Field Effect Transistor Fabrication And Devices Having Inverted T-shaped Gate
App 20170352744 - Basker; Veeraraghavan S. ;   et al.
2017-12-07
Split Fin Field Effect Transistor Enabling Back Bias On Fin Type Field Effect Transistors
App 20170323944 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Punch Through Stopper In Bulk Finfet Device
App 20170323956 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Split Fin Field Effect Transistor Enabling Back Bias On Fin Type Field Effect Transistors
App 20170323964 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Hybrid Source And Drain Contact Formation Using Metal Liner And Metal Insulator Semiconductor Contacts
App 20170301767 - Niimi; Hiroaki ;   et al.
2017-10-19
Self Aligned Epitaxial Based Punch Through Control
App 20170301786 - Basker; Veeraraghavan S. ;   et al.
2017-10-19
Bulk To Silicon On Insulator Device
App 20170294340 - Hook; Terence B. ;   et al.
2017-10-12
Bulk To Silicon On Insulator Device
App 20170294533 - Hook; Terence B. ;   et al.
2017-10-12
Bulk To Silicon On Insulator Device
App 20170294534 - Hook; Terence B. ;   et al.
2017-10-12
Bulk To Silicon On Insulator Device
App 20170294507 - Hook; Terence B. ;   et al.
2017-10-12
Dual Metal-insulator-semiconductor Contact Structure And Formulation Method
App 20170287837 - Ando; Takashi ;   et al.
2017-10-05
Pure Boron For Silicide Contact
App 20170288036 - Chen; Chia-Yu ;   et al.
2017-10-05
Pure Boron For Silicide Contact
App 20170288035 - Chen; Chia-Yu ;   et al.
2017-10-05
Fabrication Of Vertical Field Effect Transistor Structure With Controlled Gate Length
App 20170288030 - Cheng; Kangguo ;   et al.
2017-10-05
Fabrication Of Vertical Field Effect Transistor Structure With Controlled Gate Length
App 20170288039 - Cheng; Kangguo ;   et al.
2017-10-05
Extended Contact Area Using Undercut Silicide Extensions
App 20170278942 - Leobandung; Effendi ;   et al.
2017-09-28
Electrostatic Discharge Devices And Methods Of Manufacture
App 20170263601 - BU; Huiming ;   et al.
2017-09-14
Well And Punch Through Stopper Formation Using Conformal Doping
App 20170256543 - Leobandung; Effendi ;   et al.
2017-09-07
Well And Punch Through Stopper Formation Using Conformal Doping
App 20170256542 - Leobandung; Effendi ;   et al.
2017-09-07
Well And Punch Through Stopper Formation Using Conformal Doping
App 20170256409 - Leobandung; Effendi ;   et al.
2017-09-07
Etch Stop For Airgap Protection
App 20170250262 - Cheng; Kangguo ;   et al.
2017-08-31
Sidewall Image Transfer Nanosheet
App 20170250251 - Leobandung; Effendi ;   et al.
2017-08-31
Increased Contact Area For Finfets
App 20170250285 - Basker; Veeraraghavan S. ;   et al.
2017-08-31
Tone Inverted Directed Self-assembly (dsa) Fin Patterning
App 20160379823A1 -
2016-12-29
Finfet Devices With Multiple Channel Lengths
App 20160379890A1 -
2016-12-29
Nanowire Semiconductor Device Including Lateral-etch Barrier Region
App 20160380054A1 -
2016-12-29

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed