loadpatents
name:-0.061304092407227
name:-0.081743001937866
name:-0.040235042572021
Swaminathan; Shankar Patent Filings

Swaminathan; Shankar

Patent Applications and Registrations

Patent applications and USPTO patent grants for Swaminathan; Shankar.The latest application filed is for "methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures".

Company Profile
37.77.95
  • Swaminathan; Shankar - Beaverton OR
  • Swaminathan; Shankar - Phoenix AZ
  • SWAMINATHAN; SHANKAR - Cyberjaya MY
  • Swaminathan; Shankar - Hillsboro OR
  • Swaminathan; Shankar - Monmouth Junction NJ
  • Swaminathan; Shankar - Houston TX
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Planar substrate edge contact with open volume equalization pathways and side containment
Grant 11,443,975 - Breiling , et al. September 13, 2
2022-09-13
Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
Grant 11,424,119 - Shero , et al. August 23, 2
2022-08-23
Methods For Depositing A Molybdenum Metal Film Over A Dielectric Surface Of A Substrate By A Cyclical Deposition Process And Related Semiconductor Device Structures
App 20220216105 - Zope; Bhushan ;   et al.
2022-07-07
Methods For Depositing A Molybdenum Nitride Film On A Surface Of A Substrate By A Cyclical Deposition Process And Related Semiconductor Device Structures Including A Molybdenum Nitride Film
App 20220186364 - Stevens; Eric Christopher ;   et al.
2022-06-16
Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
Grant 11,295,980 - Zope , et al. April 5, 2
2022-04-05
Singularity Recommendation Engine
App 20220101392 - LEE; YU KIT ;   et al.
2022-03-31
Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
Grant 11,286,558 - Stevens , et al. March 29, 2
2022-03-29
Gapfill Of Variable Aspect Ratio Features With A Composite Peald And Pecvd Method
App 20220059348 - Kang; Hu ;   et al.
2022-02-24
Vapor Deposition Of Films Comprising Molybdenum
App 20210407809 - Zope; Bhushan ;   et al.
2021-12-30
Vapor Deposition Of Tungsten Films
App 20210404060 - Milligan; Robert Brennan ;   et al.
2021-12-30
Apparatus And Methods For Performing An In-situ Etch Of Reaction Chambers With Fluorine-based Radicals
App 20210348271 - Mishra; Amit ;   et al.
2021-11-11
Fill On Demand Ampoule Refill
App 20210324521 - Nguyen; Tuan ;   et al.
2021-10-21
Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
Grant 11,133,180 - Kang , et al. September 28, 2
2021-09-28
Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
Grant 11,127,567 - Kang , et al. September 21, 2
2021-09-21
Systems and methods for UV-based suppression of plasma instability
Grant 11,120,989 - Swaminathan September 14, 2
2021-09-14
Structure including SiOC layer and method of forming same
Grant 11,114,294 - Sharma , et al. September 7, 2
2021-09-07
Fill on demand ampoule refill
Grant 11,072,860 - Nguyen , et al. July 27, 2
2021-07-27
Channeled Lift Pin
App 20210210373 - Singu; Govindarajasekhar ;   et al.
2021-07-08
Methods For Depositing A Molybdenum Metal Film Over A Dielectric Surface Of A Substrate By A Cyclical Deposition Process And Related Semiconductor Device Structures
App 20210151352 - Zope; Bhushan ;   et al.
2021-05-20
Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
Grant 11,011,379 - Arghavani , et al. May 18, 2
2021-05-18
Semiconductor Processing Device
App 20210087679 - Winkler; Jereld Lee ;   et al.
2021-03-25
Methods For Forming A Polycrystalline Molybdenum Film Over A Surface Of A Substrate And Related Structures Including A Polycrystalline Molybdenum Film
App 20210054500 - Zope; Bhushan ;   et al.
2021-02-25
Methods For Depositing A Molybdenum Nitride Film On A Surface Of A Substrate By A Cyclical Deposition Process And Related Semiconductor Device Structures Including A Molybdenum Nitride Film
App 20210057223 - Stevens; Eric Christopher ;   et al.
2021-02-25
Substrate processing chamber including conical surface for reducing recirculation
Grant 10,840,061 - Phillips , et al. November 17, 2
2020-11-17
Systems And Methods For Suppressing Parasitic Plasma And Reducing Within-wafer Non-uniformity
App 20200335304 - Kang; Hu ;   et al.
2020-10-22
STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
App 20200283893 - Byun; YoungChol ;   et al.
2020-09-10
STRUCTURE INCLUDING SiOC LAYER AND METHOD OF FORMING SAME
App 20200286725 - Sharma; Bed Prasad ;   et al.
2020-09-10
Method For Selective Deposition Of Silicon Nitride Layer And Structure Including Selectively-deposited Silicon Nitride Layer
App 20200286726 - Shero; Eric James ;   et al.
2020-09-10
Methods for depositing films on sensitive substrates
Grant 10,741,458 - Kang , et al. A
2020-08-11
Low volume showerhead with porous baffle
Grant 10,741,365 - Chandrasekharan , et al. A
2020-08-11
Planar Substrate Edge Contact With Open Volume Equalization Pathways And Side Containment
App 20200227304 - Breiling; Patrick ;   et al.
2020-07-16
Integrated Showerhead With Thermal Control For Delivering Radical And Precursor Gas To A Downstream Chamber To Enable Remote Pla
App 20200219757 - BREILING; Patrick ;   et al.
2020-07-09
Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
Grant 10,665,429 - Kang , et al.
2020-05-26
Reducing backside deposition at wafer edge
Grant 10,648,079 - Baldasseroni , et al.
2020-05-12
Doped ALD films for semiconductor patterning applications
Grant 10,629,435 - Swaminathan , et al.
2020-04-21
Planar substrate edge contact with open volume equalization pathways and side containment
Grant 10,622,243 - Breiling , et al.
2020-04-14
Systems and Methods for UV-Based Suppression of Plasma Instability
App 20200111666 - Swaminathan; Shankar
2020-04-09
Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
Grant 10,559,468 - Arghavani , et al. Feb
2020-02-11
Systems and methods for tilting a wafer for achieving deposition uniformity
Grant 10,541,117 - Swaminathan , et al. Ja
2020-01-21
Hardware and process for film uniformity improvement
Grant 10,526,700 - Kumar , et al. J
2020-01-07
Systems and methods for UV-based suppression of plasma instability
Grant 10,529,557 - Swaminathan J
2020-01-07
Substrate Processing Chamber Including Conical Surface For Reducing Recirculation
App 20190385817 - PHILLIPS; Richard ;   et al.
2019-12-19
Capped Ald Films For Doping Fin-shaped Channel Regions Of 3-d Ic Transistors
App 20190385850 - Arghavani; Reza ;   et al.
2019-12-19
Gapfill Of Variable Aspect Ratio Features With A Composite Peald And Pecvd Method
App 20190311897 - Kang; Hu ;   et al.
2019-10-10
Composite dielectric interface layers for interconnect structures
Grant 10,418,236 - Reddy , et al. Sept
2019-09-17
Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
Grant 10,407,773 - LaVoie , et al. Sept
2019-09-10
Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
Grant 10,403,474 - Phillips , et al. Sep
2019-09-03
Low volume showerhead with faceplate holes for improved flow uniformity
Grant 10,378,107 - Chandrasekharan , et al. A
2019-08-13
Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
Grant 10,361,076 - Kang , et al.
2019-07-23
Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
Grant 10,323,323 - Chandrasekharan , et al.
2019-06-18
Asymmetric pedestal/carrier ring arrangement for edge impedance modulation
Grant 10,301,718 - Blaquiere , et al.
2019-05-28
Purge And Pumping Structures Arranged Beneath Substrate Plane To Reduce Defects
App 20190122871 - CHANDRASEKHARAN; Ramesh ;   et al.
2019-04-25
Methods For Depositing A Molybdenum Metal Film On A Dielectric Surface Of A Substrate And Related Semiconductor Device Structures
App 20190067003 - Zope; Bhushan ;   et al.
2019-02-28
Methods For Depositing A Molybdenum Metal Film Over A Dielectric Surface Of A Substrate By A Cyclical Deposition Process And Related Semiconductor Device Structures
App 20190067094 - Zope; Bhushan ;   et al.
2019-02-28
Methods For Filling A Gap Feature On A Substrate Surface And Related Semiconductor Device Structures
App 20190067014 - Shrestha; Kiran ;   et al.
2019-02-28
Systems and Methods for UV-Based Suppression of Plasma Instability
App 20190057864 - Swaminathan; Shankar
2019-02-21
Hardware And Process For Film Uniformity Improvement
App 20190040528 - Kumar; Purushottam ;   et al.
2019-02-07
Soft landing nanolaminates for advanced patterning
Grant 10,192,742 - Pasquale , et al. Ja
2019-01-29
Purge and pumping structures arranged beneath substrate plane to reduce defects
Grant 10,157,755 - Chandrasekharan , et al. Dec
2018-12-18
Composite Dielectric Interface Layers For Interconnect Structures
App 20180342389 - Reddy; Kapu Sirish ;   et al.
2018-11-29
Method for high modulus ALD SiO2 spacer
Grant 10,134,579 - Baldasseroni , et al. November 20, 2
2018-11-20
Systems and methods for UV-based suppression of plasma instability
Grant 10,109,478 - Swaminathan October 23, 2
2018-10-23
Hardware and process for film uniformity improvement
Grant 10,100,407 - Kumar , et al. October 16, 2
2018-10-16
Capped Ald Films For Doping Fin-shaped Channel Regions Of 3-d Ic Transistors
App 20180269061 - Arghavani; Reza ;   et al.
2018-09-20
High dry etch rate materials for semiconductor patterning applications
Grant 10,074,543 - Mahorowala , et al. September 11, 2
2018-09-11
Methods For Depositing Films On Sensitive Substrates
App 20180247875 - Kang; Hu ;   et al.
2018-08-30
Composite dielectric interface layers for interconnect structures
Grant 10,049,869 - Reddy , et al. August 14, 2
2018-08-14
Temporally pulsed and kinetically modulated CVD dielectrics for gapfill applications
Grant 10,049,911 - Swaminathan , et al. August 14, 2
2018-08-14
Plasma activated conformal dielectric film deposition
Grant 10,043,655 - Swaminathan , et al. August 7, 2
2018-08-07
Plasma assisted atomic layer deposition metal oxide for patterning applications
Grant 10,043,657 - Swaminathan , et al. August 7, 2
2018-08-07
Methods for depositing films on sensitive substrates
Grant 10,008,428 - Kang , et al. June 26, 2
2018-06-26
Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
Grant 9,997,357 - Arghavani , et al. June 12, 2
2018-06-12
Soft Landing Nanolaminates For Advanced Patterning
App 20180158683 - Pasquale; Frank L. ;   et al.
2018-06-07
Method For High Modulus Ald Sio2 Spacer
App 20180138036 - Baldasseroni; Chloe ;   et al.
2018-05-17
Systems and methods for vapor delivery in a substrate processing system
Grant 9,970,108 - Qian , et al. May 15, 2
2018-05-15
Planar Substrate Edge Contact With Open Volume Equalization Pathways And Side Containment
App 20180122685 - Breiling; Patrick ;   et al.
2018-05-03
Composite Dielectric Interface Layers For Interconnect Structures
App 20180096886 - Reddy; Kapu Sirish ;   et al.
2018-04-05
Temporally Pulsed And Kinetically Modulated Cvd Dielectrics For Gapfill Applications
App 20180082886 - Swaminathan; Shankar ;   et al.
2018-03-22
Valve manifold deadleg elimination via reentrant flow path
Grant 9,920,844 - Leeser , et al. March 20, 2
2018-03-20
Systems and Methods for UV-Based Suppression of Plasma Instability
App 20180076028 - Swaminathan; Shankar
2018-03-15
Systems And Methods For Suppressing Parasitic Plasma And Reducing Within-wafer Non-uniformity
App 20180068833 - Kang; Hu ;   et al.
2018-03-08
High Dry Etch Rate Materials For Semiconductor Patterning Applications
App 20180061650 - Mahorowala; Arpan ;   et al.
2018-03-01
Soft landing nanolaminates for advanced patterning
Grant 9,905,423 - Pasquale , et al. February 27, 2
2018-02-27
Plasma assisted atomic layer deposition of multi-layer films for patterning applications
Grant 9,892,917 - Swaminathan , et al. February 13, 2
2018-02-13
Doped Ald Films For Semiconductor Patterning Applications
App 20180033622 - Swaminathan; Shankar ;   et al.
2018-02-01
Collar, Conical Showerheads And/or Top Plates For Reducing Recirculation In A Substrate Processing System
App 20180012733 - Phillips; Richard ;   et al.
2018-01-11
Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
Grant 9,852,901 - Varadarajan , et al. December 26, 2
2017-12-26
Gapfill Of Variable Aspect Ratio Features With A Composite Peald And Pecvd Method
App 20170323786 - Kang; Hu ;   et al.
2017-11-09
Methods For Depositing Films On Sensitive Substrates
App 20170316988 - Kang; Hu ;   et al.
2017-11-02
Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
Grant 9,793,110 - Kang , et al. October 17, 2
2017-10-17
Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
Grant 9,793,096 - Kang , et al. October 17, 2
2017-10-17
Methods for depositing films on sensitive substrates
Grant 9,786,570 - Kang , et al. October 10, 2
2017-10-10
Asymmetric Pedestal/carrier Ring Arrangement For Edge Impedance Modulation
App 20170275756 - Blaquiere; Ryan ;   et al.
2017-09-28
Plasma Assisted Atomic Layer Deposition Metal Oxide For Patterning Applications
App 20170263450 - Swaminathan; Shankar ;   et al.
2017-09-14
Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
Grant 9,698,042 - Baldasseroni , et al. July 4, 2
2017-07-04
Systems And Methods Enabling Low Defect Processing Via Controlled Separation And Delivery Of Chemicals During Atomic Layer Deposition
App 20170175269 - Chandrasekharan; Ramesh ;   et al.
2017-06-22
Methods And Apparatuses For Showerhead Backside Parasitic Plasma Suppression In A Secondary Purge Enabled Ald System
App 20170167017 - LaVoie; Adrien ;   et al.
2017-06-15
Plasma assisted atomic layer deposition titanium oxide for patterning applications
Grant 9,673,041 - Swaminathan , et al. June 6, 2
2017-06-06
Plasma Activated Conformal Dielectric Film Deposition
App 20170148628 - Swaminathan; Shankar ;   et al.
2017-05-25
Systems And Methods For Tilting A Wafer For Achieving Deposition Uniformity
App 20170121819 - Swaminathan; Shankar ;   et al.
2017-05-04
Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
Grant 9,631,276 - Chandrasekharan , et al. April 25, 2
2017-04-25
Method for RF compensation in plasma assisted atomic layer deposition
Grant 9,624,578 - Qian , et al. April 18, 2
2017-04-18
Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
Grant 9,617,638 - LaVoie , et al. April 11, 2
2017-04-11
Purge And Pumping Structures Arranged Beneath Substrate Plane To Reduce Defects
App 20170098556 - Chandrasekharan; Ramesh ;   et al.
2017-04-06
Multi-Station Chamber Having Symmetric Grounding Plate
App 20170053781 - Lavoie; Adrien ;   et al.
2017-02-23
Plasma activated conformal dielectric film deposition
Grant 9,570,274 - Swaminathan , et al. February 14, 2
2017-02-14
Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
Grant 9,570,290 - Swaminathan , et al. February 14, 2
2017-02-14
Systems And Methods Enabling Low Defect Processing Via Controlled Separation And Delivery Of Chemicals During Atomic Layer Deposition
App 20170016115 - Chandrasekharan; Ramesh ;   et al.
2017-01-19
Capped Ald Films For Doping Fin-shaped Channel Regions Of 3-d Ic Transistors
App 20160379826 - Arghavani; Reza ;   et al.
2016-12-29
Systems And Methods For Reducing Backside Deposition And Mitigating Thickness Changes At Substrate Edges
App 20160372318 - VARADARAJAN; Sesha ;   et al.
2016-12-22
Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
Grant 9,508,547 - Pasquale , et al. November 29, 2
2016-11-29
Low Volume Showerhead With Faceplate Holes For Improved Flow Uniformity
App 20160340782 - Chandrasekharan; Ramesh ;   et al.
2016-11-24
Plasma Assisted Atomic Layer Deposition Of Multi-layer Films For Patterning Applications
App 20160336178 - Swaminathan; Shankar ;   et al.
2016-11-17
Soft Landing Nanolaminates For Advanced Patterning
App 20160293418 - Pasquale; Frank L. ;   et al.
2016-10-06
Plasma Assisted Atomic Layer Deposition Titanium Oxide For Patterning Applications
App 20160293838 - Swaminathan; Shankar ;   et al.
2016-10-06
Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
Grant 9,460,915 - Varadarajan , et al. October 4, 2
2016-10-04
Soft landing nanolaminates for advanced patterning
Grant 9,390,909 - Pasquale , et al. July 12, 2
2016-07-12
Hardware And Process For Film Uniformity Improvement
App 20160177443 - Kumar; Purushottam ;   et al.
2016-06-23
Reducing Backside Deposition At Wafer Edge
App 20160177444 - Baldasseroni; Chloe ;   et al.
2016-06-23
Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
Grant 9,373,500 - Swaminathan , et al. June 21, 2
2016-06-21
Plasma Assisted Atomic Layer Deposition Titanium Oxide For Conformal Encapsulation And Gapfill Applications
App 20160163972 - Swaminathan; Shankar ;   et al.
2016-06-09
Methods For Depositing Films On Sensitive Substrates
App 20160155676 - Kang; Hu ;   et al.
2016-06-02
Conformal film deposition for gapfill
Grant 9,355,886 - Swaminathan , et al. May 31, 2
2016-05-31
Sub-saturated atomic layer deposition and conformal film deposition
Grant 9,355,839 - Swaminathan , et al. May 31, 2
2016-05-31
Valve Manifold Deadleg Elimination Via Reentrant Flow Path
App 20160147234 - Leeser; Karl ;   et al.
2016-05-26
Gapfill Of Variable Aspect Ratio Features With A Composite Peald And Pecvd Method
App 20160118246 - Kang; Hu ;   et al.
2016-04-28
Method And Apparatus For Rf Compensation In Plasma Assisted Atomic Layer Deposition
App 20160090650 - Qian; Jun ;   et al.
2016-03-31
Systems and Methods for Suppressing Parasitic Plasma and Reducing Within-Wafer Non-Uniformity
App 20160079036 - Kang; Hu ;   et al.
2016-03-17
Systems And Methods For Reducing Backside Deposition And Mitigatingthickness Changes At Substrate Edges
App 20160079057 - Varadarajan; Sesha ;   et al.
2016-03-17
Methods for depositing films on sensitive substrates
Grant 9,287,113 - Kang , et al. March 15, 2
2016-03-15
High Growth Rate Process For Conformal Aluminum Nitride
App 20160064211 - Swaminathan; Shankar ;   et al.
2016-03-03
Fill On Demand Ampoule
App 20160052651 - Nguyen; Tuan ;   et al.
2016-02-25
Fill On Demand Ampoule Refill
App 20160052655 - Nguyen; Tuan ;   et al.
2016-02-25
Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
Grant 9,257,274 - Kang , et al. February 9, 2
2016-02-09
Methods And Apparatuses For Showerhead Backside Parasitic Plasma Suppression In A Secondary Purge Enabled Ald System
App 20160035566 - LaVoie; Adrien ;   et al.
2016-02-04
Systems And Methods For Vapor Delivery
App 20160032453 - Qian; Jun ;   et al.
2016-02-04
Plasma activated conformal film deposition
Grant 9,230,800 - LaVoie , et al. January 5, 2
2016-01-05
High growth rate process for conformal aluminum nitride
Grant 9,214,334 - Swaminathan , et al. December 15, 2
2015-12-15
Low Volume Showerhead With Porous Baffle
App 20150315706 - Chandrasekharan; Ramesh ;   et al.
2015-11-05
Rf Cycle Purging To Reduce Surface Roughness In Metal Oxide And Metal Nitride Films
App 20150247238 - Pasquale; Frank L. ;   et al.
2015-09-03
Capped Ald Films For Doping Fin-shaped Channel Regions Of 3-d Ic Transistors
App 20150249013 - Arghavani; Reza ;   et al.
2015-09-03
Plasma Assisted Atomic Layer Deposition Titanium Oxide For Conformal Encapsulation And Gapfill Applications
App 20150243883 - Swaminathan; Shankar ;   et al.
2015-08-27
High Growth Rate Process For Conformal Aluminum Nitride
App 20150235835 - Swaminathan; Shankar ;   et al.
2015-08-20
Plasma Activated Conformal Dielectric Film Deposition
App 20150206719 - Swaminathan; Shankar ;   et al.
2015-07-23
Soft Landing Nanolaminates For Advanced Patterning
App 20150126042 - Pasquale; Frank L. ;   et al.
2015-05-07
Systems For Modulating Step Coverage During Conformal Film Deposition
App 20150107513 - Swaminathan; Shankar ;   et al.
2015-04-23
Plasma activated conformal dielectric film deposition
Grant 8,999,859 - Swaminathan , et al. April 7, 2
2015-04-07
Methods for modulating step coverage during conformal film deposition
Grant 8,956,704 - Swaminathan , et al. February 17, 2
2015-02-17
Conformal doping via plasma activated atomic layer deposition and conformal film deposition
Grant 8,956,983 - Swaminathan , et al. February 17, 2
2015-02-17
Plasma Activated Conformal Dielectric Film Deposition
App 20140216337 - Swaminathan; Shankar ;   et al.
2014-08-07
Plasma Activated Conformal Film Deposition
App 20140209562 - LaVoie; Adrien ;   et al.
2014-07-31
Methods For Depositing Films On Sensitive Substrates
App 20140141542 - Kang; Hu ;   et al.
2014-05-22
Plasma activated conformal film deposition
Grant 8,728,956 - LaVoie , et al. May 20, 2
2014-05-20
Conformal Film Deposition For Gapfill
App 20140134827 - Swaminathan; Shankar ;   et al.
2014-05-15
Sub-saturated Atomic Layer Deposition And Conformal Film Deposition
App 20140120737 - Swaminathan; Shankar ;   et al.
2014-05-01
Gapfill Of Variable Aspect Ratio Features With A Composite Peald And Pecvd Method
App 20140106574 - Kang; Hu ;   et al.
2014-04-17
High Pressure, High Power Plasma Activated Conformal Film Deposition
App 20140030444 - Swaminathan; Shankar ;   et al.
2014-01-30
Plasma activated conformal dielectric film deposition
Grant 8,637,411 - Swaminathan , et al. January 28, 2
2014-01-28
Systems And Methods For Modulating Step Coverage During Conformal Film Deposition
App 20130309415 - Swaminathan; Shankar ;   et al.
2013-11-21
Conformal Doping Via Plasma Activated Atomic Layer Deposition And Conformal Film Deposition
App 20130040447 - Swaminathan; Shankar ;   et al.
2013-02-14
Plasma Activated Conformal Dielectric Film Deposition
App 20120028454 - Swaminathan; Shankar ;   et al.
2012-02-02
Plasma Activated Conformal Film Deposition
App 20110256726 - LaVoie; Adrien ;   et al.
2011-10-20
Process for the preparation of epothilone analogs
Grant RE39,356 - Li , et al. October 17, 2
2006-10-17
Process for preparing pyrrolotriazine
Grant 7,102,001 - Swaminathan , et al. September 5, 2
2006-09-05
Synthetic process
App 20050209454 - Swaminathan, Shankar ;   et al.
2005-09-22
Process for the preparation of epothilone analogs
Grant 6,518,421 - Li , et al. February 11, 2
2003-02-11
Process for the preparation of epothilone analogs
App 20030004338 - Li, Wen Sen ;   et al.
2003-01-02
Side chain derivatized 15-oxygenated sterols, methods of using them and a process for preparing them
Grant 5,371,077 - Schroepfer, Jr. , et al. December 6, 1
1994-12-06

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed