loadpatents
name:-0.066805839538574
name:-0.050398111343384
name:-0.03171706199646
Subramani; Anantha K. Patent Filings

Subramani; Anantha K.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Subramani; Anantha K..The latest application filed is for "hot showerhead".

Company Profile
20.47.65
  • Subramani; Anantha K. - Sunnyvale CA
  • Subramani; Anantha K. - San Jose CA
  • Subramani; Anantha K - San Jose CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Hot Showerhead
App 20220307131 - Subramani; Anantha K. ;   et al.
2022-09-29
Multiple sequential linear powder dispensers for additive manufacturing
Grant 11,446,740 - Rowland , et al. September 20, 2
2022-09-20
Fabricating a recursive flow gas distribution stack using multiple layers
Grant 11,371,148 - Agarwal , et al. June 28, 2
2022-06-28
Seamless electrical conduit
Grant 11,368,003 - Kraus , et al. June 21, 2
2022-06-21
RF return path for reduction of parasitic plasma
Grant 11,335,543 - Subramani , et al. May 17, 2
2022-05-17
Internally Divisible Process Chamber Using A Shutter Disk Assembly
App 20220139684 - MAZZOCCO; John Joseph ;   et al.
2022-05-05
Shaped electrodes for improved plasma exposure from vertical plasma source
Grant 11,315,763 - Bera , et al. April 26, 2
2022-04-26
Plasma source for rotating susceptor
Grant 11,315,769 - Bera , et al. April 26, 2
2022-04-26
Physical vapor deposition (PVD) chamber with in situ chamber cleaning capability
Grant 11,289,312 - Allen , et al. March 29, 2
2022-03-29
Fabricating A Recursive Flow Gas Distribution Stack Using Multiple Layers
App 20220056584 - Agarwal; Sumit ;   et al.
2022-02-24
Showerhead With Interlaced Gas Feed And Removal And Methods Of Use
App 20220051910 - Bera; Kallol ;   et al.
2022-02-17
Deposition System With Multi-Cathode And Method Of Manufacture Thereof
App 20220037136 - Subramani; Anantha K. ;   et al.
2022-02-03
Distribution Components For Semiconductor Processing Systems
App 20220028710 - Subramani; Anantha K. ;   et al.
2022-01-27
Showerhead with interlaced gas feed and removal and methods of use
Grant 11,189,502 - Bera , et al. November 30, 2
2021-11-30
Deposition system with multi-cathode and method of manufacture thereof
Grant 11,183,375 - Subramani , et al. November 23, 2
2021-11-23
Methods and apparatus for producing low angle depositions
Grant 11,170,982 - Subramani , et al. November 9, 2
2021-11-09
Rf Return Path For Reduction Of Parasitic Plasma
App 20210305020 - Subramani; Anantha K. ;   et al.
2021-09-30
Methods and apparatus for co-sputtering multiple targets
Grant 11,101,117 - Subramani , et al. August 24, 2
2021-08-24
Symmetric Plasma Source to Generate Pie-Shaped Treatment
App 20210210312 - Subramani; Anantha K. ;   et al.
2021-07-08
Process kit for multi-cathode processing chamber
Grant 11,043,364 - Wu , et al. June 22, 2
2021-06-22
Plasma Source For Rotating Susceptor
App 20210166923 - Bera; Kallol ;   et al.
2021-06-03
Methods and apparatus for multi-cathode substrate processing
Grant 11,011,357 - Wu , et al. May 18, 2
2021-05-18
Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
Grant 10,927,449 - Liu , et al. February 23, 2
2021-02-23
Microwave Plasma Source For Spatial Plasma Enhanced Atomic Layer Deposition (PE-ALD) Processing Tool
App 20210050187 - Kudela; Jozef ;   et al.
2021-02-18
Plasma source for rotating susceptor
Grant 10,903,056 - Bera , et al. January 26, 2
2021-01-26
Symmetric plasma source to generate pie shaped treatment
Grant 10,879,042 - Subramani , et al. December 29, 2
2020-12-29
Shaped Electrodes For Improved Plasma Exposure From Vertical Plasma Source
App 20200395194 - Bera; Kallol ;   et al.
2020-12-17
Physical Vapor Deposition (pvd) Chamber With In Situ Chamber Cleaning Capability
App 20200395198 - ALLEN; ADOLPH M. ;   et al.
2020-12-17
Seamless Electrical Conduit
App 20200388998 - KRAUS; Philip Allan ;   et al.
2020-12-10
Rf Components With Chemically Resistant Surfaces
App 20200385866 - Srinivasan; Swaminathan ;   et al.
2020-12-10
Shaped electrodes for improved plasma exposure from vertical plasma source
Grant 10,763,085 - Bera , et al. Sep
2020-09-01
Two zone flow cooling plate design with concentric or spiral channel for efficient gas distribution assembly cooling
Grant 10,697,061 - Shah , et al.
2020-06-30
Single oxide metal deposition chamber
Grant 10,597,785 - Subramani , et al.
2020-03-24
Sputtering showerhead
Grant 10,577,689 - Subramani , et al.
2020-03-03
Methods And Apparatus For Producing Low Angle Depositions
App 20200051794 - SUBRAMANI; ANANTHA K. ;   et al.
2020-02-13
Methods And Apparatus For Co-sputtering Multiple Targets
App 20200013597 - SUBRAMANI; Anantha K. ;   et al.
2020-01-09
Biasable rotatable electrostatic chuck
Grant 10,490,434 - Swaminathan , et al. Nov
2019-11-26
Methods and apparatus for co-sputtering multiple targets
Grant 10,468,238 - Subramani , et al. No
2019-11-05
Showerhead With Interlaced Gas Feed And Removal And Methods Of Use
App 20190311920 - Bera; Kallol ;   et al.
2019-10-10
Methods and apparatus for processing a substrate
Grant 10,431,440 - Wang , et al. O
2019-10-01
Methods And Apparatus For Physical Vapor Deposition Using Directional Linear Scanning
App 20190276931 - Mebarki; Bencherki ;   et al.
2019-09-12
Dual-feed tunable plasma source
Grant 10,395,893 - Hammond, IV , et al. A
2019-08-27
Shaped Electrodes For Improved Plasma Exposure From Vertical Plasma Source
App 20190189404 - Bera; Kallol ;   et al.
2019-06-20
In-situ temperature measurement in a noisy environment
Grant 10,249,522 - Wu , et al.
2019-04-02
Process Kit For Multi-cathode Processing Chamber
App 20180350572 - Wu; Hanbing ;   et al.
2018-12-06
Plasma Source For Rotating Susceptor
App 20180330927 - Bera; Kallol ;   et al.
2018-11-15
Lateral plasma/radical source
Grant 10,121,655 - Subramani , et al. November 6, 2
2018-11-06
Methods And Apparatus For Multi-cathode Substrate Processing
App 20180240655 - WU; HANBING ;   et al.
2018-08-23
Linear Powder Dispenser That Raster Scans For Additive Manufacturing
App 20180221949 - Rowland; Christopher A. ;   et al.
2018-08-09
Multiple Sequential Linear Powder Dispensers For Additive Manufacturing
App 20180221948 - Rowland; Christopher A. ;   et al.
2018-08-09
Extension Of Pvd Chamber With Multiple Reaction Gases, High Bias Power, And High Power Impulse Source For Deposition, Implantation, And Treatment
App 20180209035 - LIU; Jingjing ;   et al.
2018-07-26
UHV In-Situ Cryo-Cool Chamber
App 20180163306 - Swaminathan; Bharath ;   et al.
2018-06-14
Two Zone Flow Cooling Plate Design With Concentric Or Spiral Channel For Efficient Gas Distribution Assembly Cooling
App 20180142352 - SHAH; Kartik ;   et al.
2018-05-24
Sputtering Showerhead
App 20180087155 - SUBRAMANI; Anantha K. ;   et al.
2018-03-29
Single Oxide Metal Deposition Chamber
App 20180073150 - SUBRAMANI; Anantha K. ;   et al.
2018-03-15
Independently Controllable Powder Delivery For Additive Manufacturing
App 20180065178 - Rowland; Christopher A. ;   et al.
2018-03-08
Reflective deposition rings and substrate processing chambers incorporating same
Grant 9,905,443 - Subramani , et al. February 27, 2
2018-02-27
Rotatable heated electrostatic chuck
Grant 9,853,579 - Subramani , et al. December 26, 2
2017-12-26
Off-angled heating of the underside of a substrate using a lamp assembly
Grant 9,818,587 - Ewert , et al. November 14, 2
2017-11-14
In-situ Temperature Measurement In A Noisy Environment
App 20170271182 - WU; Hanbing ;   et al.
2017-09-21
Sputter Source For Semiconductor Process Chambers
App 20170211175 - SUBRAMANI; ANANTHA K. ;   et al.
2017-07-27
Symmetric Plasma Source To Generate Pie Shaped Treatment
App 20170213701 - Subramani; Anantha K. ;   et al.
2017-07-27
Dual-Feed Tunable Plasma Source
App 20170213702 - Hammond, IV; Edward P. ;   et al.
2017-07-27
Layerwise Heating, Linewise Heating, Plasma Heating And Multiple Feed Materials In Additive Manufacturing
App 20170203363 - Rowland; Christopher A. ;   et al.
2017-07-20
Additive Manufacturing With Laser And Plasma
App 20170203364 - Ramaswamy; Kartik ;   et al.
2017-07-20
Additive Manufacturing With Laser And Gas Flow
App 20170182556 - Ramaswamy; Kartik ;   et al.
2017-06-29
Methods And Apparatus For Processing A Substrate
App 20170178877 - WANG; RONGJUN ;   et al.
2017-06-22
In-situ temperature measurement in a noisy environment
Grant 9,673,074 - Wu , et al. June 6, 2
2017-06-06
Lateral Plasma/Radical Source
App 20170148626 - Subramani; Anantha K. ;   et al.
2017-05-25
Biasable Rotatable Electrostatic Chuck
App 20170125274 - SWAMINATHAN; Bharath ;   et al.
2017-05-04
Sputter source for semiconductor process chambers
Grant 9,620,339 - Subramani , et al. April 11, 2
2017-04-11
Methods And Apparatus For Co-sputtering Multiple Targets
App 20170053784 - SUBRAMANI; Anantha K. ;   et al.
2017-02-23
Wafer processing deposition shielding components
Grant 9,476,122 - Riker , et al. October 25, 2
2016-10-25
Method of depositing metals using high frequency plasma
Grant 9,466,524 - Ma , et al. October 11, 2
2016-10-11
Deposition System With Multi-cathode And Method Of Manufacture Thereof
App 20150279635 - Subramani; Anantha K. ;   et al.
2015-10-01
Rotatable Heated Electrostatic Chuck
App 20150170952 - SUBRAMANI; ANANTHA K. ;   et al.
2015-06-18
In-situ Temperature Measurement In A Noisy Environment
App 20140269826 - WU; Hanbing ;   et al.
2014-09-18
Sputter Source For Semiconductor Process Chambers
App 20140262767 - SUBRAMANI; Anantha K. ;   et al.
2014-09-18
Wafer Processing Deposition Shielding Components
App 20140190822 - RIKER; Martin Lee ;   et al.
2014-07-10
Mechanism for continuously varying radial position of a magnetron
Grant 8,685,215 - Miller , et al. April 1, 2
2014-04-01
Method for metal deposition using hydrogen plasma
Grant 8,637,410 - Subramani , et al. January 28, 2
2014-01-28
Off-angled Heating Of The Underside Of A Substrate Using A Lamp Assembly
App 20130270107 - EWERT; MAURICE E. ;   et al.
2013-10-17
Method Of Depositing Metals Using High Frequency Plasma
App 20130196507 - Ma; Paul F. ;   et al.
2013-08-01
Use Of A1 Barrier Layer To Produce High Haze Zno Films On Glass Substrates
App 20130139878 - Bhatnagar; Yashraj K. ;   et al.
2013-06-06
Off-angled heating of the underside of a substrate using a lamp assembly
Grant 8,404,048 - Ewert , et al. March 26, 2
2013-03-26
Reflective Deposition Rings And Substrate Processing Chambers Incorporting Same
App 20130055952 - SUBRAMANI; ANANTHA K. ;   et al.
2013-03-07
Method for Metal Deposition Using Hydrogen Plasma
App 20120258602 - Subramani; Anantha K. ;   et al.
2012-10-11
Off-angled Heating Of The Underside Of A Substrate Using A Lamp Assembly
App 20120231633 - Ewert; Maurice E. ;   et al.
2012-09-13
Deposition chamber cover ring
Grant D665,491 - Goel , et al. August 14, 2
2012-08-14
Deposition chamber liner
Grant D665,071 - Goel , et al. August 7, 2
2012-08-07
Mechanism for continuously varying radial position of a magnetron
App 20100243440 - Miller; Keith A. ;   et al.
2010-09-30
Magnetron having continuously variable radial position
Grant 7,736,473 - Miller , et al. June 15, 2
2010-06-15
Wafer Processing Deposition Shielding Components
App 20090308739 - Riker; Martin Lee ;   et al.
2009-12-17
Apparatus And Method For Uniform Deposition
App 20090308732 - Cao; Yong ;   et al.
2009-12-17
Electroformed sputtering target
Grant 7,297,247 - Subramani , et al. November 20, 2
2007-11-20
Electroformed Sputtering Target
App 20070246346 - Subramani; Anantha K. ;   et al.
2007-10-25
Magnetron having continuously variable radial position
App 20060076232 - Miller; Keith A. ;   et al.
2006-04-13
Selectable dual position magnetron
Grant 7,018,515 - Gung , et al. March 28, 2
2006-03-28
Selectable dual position magnetron
App 20050211548 - Gung, Tza-Jing ;   et al.
2005-09-29
Partially filling copper seed layer
Grant 6,899,796 - Wang , et al. May 31, 2
2005-05-31
Diffusion enhanced ion plating for copper fill
Grant 6,884,329 - Wang , et al. April 26, 2
2005-04-26
Electroformed sputtering target
App 20040222088 - Subramani, Anantha K. ;   et al.
2004-11-11
Diffusion enhanced ion plating for copper fill
App 20040134768 - Wang, Wei D. ;   et al.
2004-07-15
Partially filling copper seed layer
App 20040134769 - Wang, Wei D. ;   et al.
2004-07-15

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed