loadpatents
name:-0.1154510974884
name:-0.072568893432617
name:-0.031276941299438
Lee; Ming-Han Patent Filings

Lee; Ming-Han

Patent Applications and Registrations

Patent applications and USPTO patent grants for Lee; Ming-Han.The latest application filed is for "integrated circuit interconnect structure having discontinuous barrier layer and air gap".

Company Profile
29.74.105
  • Lee; Ming-Han - Taipei City TW
  • LEE; Ming-Han - Taipei TW
  • LEE; Ming-Han - New Taipei City TW
  • LEE; Ming-Han - Hsinchu TW
  • Lee; Ming-Han - Hsinchu City TW
  • Lee; Ming-Han - Hsin-Chu TW
  • Lee; Ming-Han - Taoyuan Hsien TW
  • Lee; Ming-Han - Taipei Hsien TW
  • Lee; Ming Han - Helsinki FI
  • Lee; Ming-Han - Sindian TW
  • Lee; Ming-Han - Hsin Tien TW
  • Lee; Ming-Han - Sindian City TW
  • Lee; Ming-Han - Hsin Tien City TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Integrated Circuit Interconnect Structure Having Discontinuous Barrier Layer And Air Gap
App 20220310446 - Chung; Chin-Lung ;   et al.
2022-09-29
Semiconductor Packages And Methods For Forming The Same
App 20220310489 - YANG; Shin-Yi ;   et al.
2022-09-29
Graded Metallic Liner For Metal Interconnect Structures And Methods For Forming The Same
App 20220302039 - LI; Shu-Wei ;   et al.
2022-09-22
Hybrid method for forming semiconductor interconnect structure
Grant 11,450,602 - Fu , et al. September 20, 2
2022-09-20
Semiconductor Packages And Methods For Forming The Same
App 20220293546 - YANG; Shin-Yi ;   et al.
2022-09-15
Semiconductor Packages And Methods For Forming The Same
App 20220293527 - LEE; Ming-Han ;   et al.
2022-09-15
Semiconductor Packages And Methods For Forming The Same
App 20220285318 - YANG; Shin-Yi ;   et al.
2022-09-08
Semiconductor Packages And Methods For Forming The Same
App 20220285292 - SHUE; Shau-Lin ;   et al.
2022-09-08
Chemical Mechanical Polishing Topography Reset And Control On Interconnect Metal Lines
App 20220270915 - FU; Shih-Kang ;   et al.
2022-08-25
Semiconductor Device Structure And Methods Of Forming The Same
App 20220270970 - CHAN; Yu-Chen ;   et al.
2022-08-25
Interconnect Structure without Barrier Layer on Bottom Surface of Via
App 20220262675 - Kuo; Tz-Jun ;   et al.
2022-08-18
Hybrid interconnect structure for self aligned via
Grant 11,404,366 - Yang , et al. August 2, 2
2022-08-02
Protection Liner On Interconnect Wire To Enlarge Processing Window For Overlying Interconnect Via
App 20220238434 - Yang; Shin-Yi ;   et al.
2022-07-28
Interconnect Structures
App 20220165617 - LUO; Guanyu ;   et al.
2022-05-26
Chemical mechanical polishing topography reset and control on interconnect metal lines
Grant 11,342,219 - Fu , et al. May 24, 2
2022-05-24
Two 2d Capping Layers On Interconnect Conductive Structure To Increase Interconnect Structure Reliability
App 20220157710 - Li; Shu-Wei ;   et al.
2022-05-19
Interconnect structure without barrier layer on bottom surface of via
Grant 11,322,391 - Kuo , et al. May 3, 2
2022-05-03
Protection liner on interconnect wire to enlarge processing window for overlying interconnect via
Grant 11,309,241 - Yang , et al. April 19, 2
2022-04-19
Graphene Barrier Layer
App 20220115327 - Yang; Shin-Yi ;   et al.
2022-04-14
Semiconductor device and manufacturing method thereof
Grant 11,296,026 - Lee , et al. April 5, 2
2022-04-05
Chemical Mechanical Polishing Topography Reset And Control On Interconnect Metal Lines
App 20220102191 - FU; Shih-Kang ;   et al.
2022-03-31
Methods of Performing Chemical-Mechanical Polishing Process in Semiconductor Devices
App 20220068701 - Fu; Shih-Kang ;   et al.
2022-03-03
Semiconductor Structure And Method For Forming The Same
App 20220068799 - LU; MENG-PEI ;   et al.
2022-03-03
Graphene-Assisted Low-Resistance Interconnect Structures and Methods of Formation Thereof
App 20220020694 - Yang; Shin-Yi ;   et al.
2022-01-20
Semiconductor Device Including Liner Structure
App 20210407852 - Yeh; Ching-Fu ;   et al.
2021-12-30
Method with CMP for metal ion prevention
Grant 11,211,256 - Fu , et al. December 28, 2
2021-12-28
Protection Liner On Interconnect Wire To Enlarge Processing Window For Overlying Interconnect Via
App 20210398898 - Yang; Shin-Yi ;   et al.
2021-12-23
Graphene barrier layer
Grant 11,205,618 - Yang , et al. December 21, 2
2021-12-21
Semiconductor device with conductors embedded in a substrate
Grant 11,201,106 - Chen , et al. December 14, 2
2021-12-14
Hybrid Interconnect Structure For Self Aligned Via
App 20210375749 - Yang; Shin-Yi ;   et al.
2021-12-02
Methods of Forming Interconnect Structures in Semiconductor Fabrication
App 20210375756 - Lee; Ming-Han ;   et al.
2021-12-02
Graphene Layer for Reduced Contact Resistance
App 20210375777 - Yang; Shin-Yi ;   et al.
2021-12-02
Hybrid Via Interconnect Structure
App 20210366822 - Chung; Chin-Lung ;   et al.
2021-11-25
Via Structure And Methods For Forming The Same
App 20210366765 - Lu; Meng-Pei ;   et al.
2021-11-25
Methods of performing chemical-mechanical polishing process in semiconductor devices
Grant 11,152,255 - Fu , et al. October 19, 2
2021-10-19
Hybrid Method for Forming Semiconductor Interconnect Structure
App 20210313262 - Fu; Shih-Kang ;   et al.
2021-10-07
Semiconductor device and manufacturing method thereof
Grant 11,127,680 - Fu , et al. September 21, 2
2021-09-21
Graphene enabled selective barrier layer formation
Grant 11,114,374 - Yang , et al. September 7, 2
2021-09-07
Method with CMP for Metal Ion Prevention
App 20210265172 - Fu; Shih-Kang ;   et al.
2021-08-26
Graphene layer for reduced contact resistance
Grant 11,094,631 - Yang , et al. August 17, 2
2021-08-17
Methods of forming interconnect structures in semiconductor fabrication
Grant 11,094,626 - Lee , et al. August 17, 2
2021-08-17
Graphene-assisted low-resistance interconnect structures and methods of formation thereof
Grant 11,081,447 - Yang , et al. August 3, 2
2021-08-03
Semiconductor Device with Conductors Embedded in a Substrate
App 20210233834 - Chen; Hsin-Ping ;   et al.
2021-07-29
Method of forming interconnection structure
Grant 11,011,467 - Chan , et al. May 18, 2
2021-05-18
Interconnect structure with low resistivity and method for forming the same
Grant 10,964,636 - Yang , et al. March 30, 2
2021-03-30
Graphene Barrier Layer
App 20210082829 - Yang; Shin-Yi ;   et al.
2021-03-18
Barrier-Less Structures
App 20210057273 - Chen; Hsin-Ping ;   et al.
2021-02-25
Interconnect Structures with Low-Aspect-Ratio Contact Vias
App 20210057333 - Tsai; Cheng-Hsiung ;   et al.
2021-02-25
Graphene Enabled Selective Barrier Layer Formation
App 20210057335 - Yang; Shin-Yi ;   et al.
2021-02-25
Semiconductor device and forming method thereof
Grant 10,879,115 - Lee , et al. December 29, 2
2020-12-29
Semiconductor Device And Manufacturing Method Thereof
App 20200395299 - LEE; Ming-Han ;   et al.
2020-12-17
Method Of Forming Interconnection Structure
App 20200373239 - CHAN; Yu-Chen ;   et al.
2020-11-26
Method of Forming Metal Interconnection
App 20200343177 - Yang; Shin-Yi ;   et al.
2020-10-29
Methods of Performing Chemical-Mechanical Polishing Process in Semiconductor Devices
App 20200312708 - Fu; Shih-Kang ;   et al.
2020-10-01
Semiconductor device and manufacturing method thereof
Grant 10,763,211 - Lee , et al. Sep
2020-09-01
Interconnection structure and method for forming the same
Grant 10,741,493 - Chan , et al. A
2020-08-11
Method of forming metal interconnection
Grant 10,714,424 - Yang , et al.
2020-07-14
Semiconductor interconnect structure having graphene-capped metal interconnects
Grant 10,651,279 - Yang , et al.
2020-05-12
Graphene Layer for Reduced Contact Resistance
App 20200135655 - Yang; Shin-Yi ;   et al.
2020-04-30
Semiconductor Structure
App 20200118925 - YANG; Shin-Yi ;   et al.
2020-04-16
Semiconductor Structure And Manufacturing Method Thereof
App 20200118924 - YANG; Shin-Yi ;   et al.
2020-04-16
Methods of Forming Interconnect Structures in Semiconductor Fabrication
App 20200098685 - Lee; Ming-Han ;   et al.
2020-03-26
Interconnect Structure With Low Resistivity And Method For Forming The Same
App 20200091055 - YANG; Shin-Yi ;   et al.
2020-03-19
Interconnect Structure Without Barrier Layer On Bottom Surface Of Via
App 20200035546 - KUO; Tz-Jun ;   et al.
2020-01-30
Semiconductor interconnect structure having a graphene barrier layer
Grant 10,535,559 - Yang , et al. Ja
2020-01-14
Semiconductor device with interconnecting structure and method for manufacturing the same
Grant 10,510,657 - Yang , et al. Dec
2019-12-17
Interconnect structure without barrier layer on bottom surface of via
Grant 10,453,740 - Kuo , et al. Oc
2019-10-22
Semiconductor Interconnect Structure Having a Graphene Barrier Layer
App 20190259658 - YANG; Shin-Yi ;   et al.
2019-08-22
Semiconductor interconnect structure having a graphene barrier layer
Grant 10,319,632 - Yang , et al.
2019-06-11
Semiconductor Device And Forming Method Thereof
App 20190157144 - LEE; Ming-Han ;   et al.
2019-05-23
Semiconductor Interconnect Structure Having Graphene-Capped Metal Interconnects
App 20190131408 - YANG; Shin-Yi ;   et al.
2019-05-02
Semiconductor device and manufacturing method thereof
Grant 10,269,706 - Lee , et al.
2019-04-23
Method of Forming Metal Interconnection
App 20190115297 - Yang; Shin-Yi ;   et al.
2019-04-18
Interconnection Structure And Method For Forming The Same
App 20190096806 - CHAN; Yu-Chen ;   et al.
2019-03-28
Semiconductor Device With Interconnecting Structure And Method For Manufacturing The Same
App 20190096801 - YANG; Shin-Yi ;   et al.
2019-03-28
Interconnect Structure Without Barrier Layer On Bottom Surface Of Via
App 20190006230 - KUO; Tz-Jun ;   et al.
2019-01-03
Method for forming interconnect structure of semiconductor device
Grant 10,163,753 - Lee , et al. Dec
2018-12-25
Method of forming metal interconnection
Grant 10,163,786 - Yang , et al. Dec
2018-12-25
Method for forming conductive structure using polishing process
Grant 10,163,700 - Fu , et al. Dec
2018-12-25
Semiconductor interconnect structure having graphene-capped metal interconnects
Grant 10,164,018 - Yang , et al. Dec
2018-12-25
Interconnect structure and manufacturing method thereof
Grant 10,163,698 - Yeh , et al. Dec
2018-12-25
Semiconductor Interconnect Structure Having Graphene-capped Metal Interconnects
App 20180350913 - YANG; Shin-Yi ;   et al.
2018-12-06
Semiconductor Device And Manufacturing Method Thereof
App 20180350741 - LEE; Ming-Han ;   et al.
2018-12-06
Interconnection Structure And Method For Forming The Same
App 20180342459 - CHAN; Yu-Chen ;   et al.
2018-11-29
Interconnection structure and method for forming the same
Grant 10,141,260 - Chan , et al. Nov
2018-11-27
Method of manufacturing a semiconductor device
Grant 10,121,698 - Lee , et al. November 6, 2
2018-11-06
Semiconductor Interconnect Structure Having A Graphene Barrier Layer
App 20180166333 - YANG; Shin-Yi ;   et al.
2018-06-14
Method of forming metal interconnection
Grant 9,972,529 - Yang , et al. May 15, 2
2018-05-15
Source driving device with 3 types of gate oxide layer
Grant 9,898,983 - Hsu , et al. February 20, 2
2018-02-20
Source Driving Device with 3 Types of Gate Oxide Layer
App 20180047355 - Hsu; Yu-Hao ;   et al.
2018-02-15
Semiconductor Device And Manufacturing Method Thereof
App 20180033727 - LEE; Ming-Han ;   et al.
2018-02-01
Method of forming an interconnection
Grant 9,842,767 - Lee , et al. December 12, 2
2017-12-12
Interconnect structure and manufacturing method thereof
Grant 9,818,644 - Yang , et al. November 14, 2
2017-11-14
Method For Forming Interconnect Structure Of Semiconductor Device
App 20170317010 - LEE; Ming-Han ;   et al.
2017-11-02
Semiconductor Device And Manufacturing Method Thereof
App 20170287842 - FU; Shih-Kang ;   et al.
2017-10-05
Method for Via Plating with Seed Layer
App 20170236750 - Yang; Shin-Yi ;   et al.
2017-08-17
Semiconductor Device With Interconnect Structure Having Catalys Layer
App 20170229372 - LEE; Ming-Han ;   et al.
2017-08-10
Via pre-fill on back-end-of-the-line interconnect layer
Grant 9,728,503 - Peng , et al. August 8, 2
2017-08-08
Semiconductor device with interconnect structure having catalys layer
Grant 9,728,485 - Lee , et al. August 8, 2
2017-08-08
Semiconductor device and manufacturing method thereof
Grant 9,721,894 - Fu , et al. August 1, 2
2017-08-01
Method of forming metal interconnection
Grant 9,721,887 - Peng , et al. August 1, 2
2017-08-01
Conductive Structure And Method For Forming Conductive Structure Using Polishing Process
App 20170194201 - FU; Shih-Kang ;   et al.
2017-07-06
Method of Forming Metal Interconnection
App 20170186685 - Yang; Shin-Yi ;   et al.
2017-06-29
Semiconductor Device Metallization Systems and Methods
App 20170125290 - Lee; Hsiang-Huan ;   et al.
2017-05-04
Method for via plating with seed layer
Grant 9,640,431 - Yang , et al. May 2, 2
2017-05-02
Method and apparatus for back end of line semiconductor device processing
Grant 9,613,854 - Yang , et al. April 4, 2
2017-04-04
Method of forming metal interconnection
Grant 9,613,856 - Yang , et al. April 4, 2
2017-04-04
Method of Forming Metal Interconnection
App 20170092536 - Yang; Shin-Yi ;   et al.
2017-03-30
Semiconductor Device And Manufacturing Method Thereof
App 20170092591 - FU; Shih-Kang ;   et al.
2017-03-30
Method of Forming Metal Interconnection
App 20170084483 - Yang; Shin-Yi ;   et al.
2017-03-23
Method of Forming Metal Interconnection
App 20170053864 - Peng; Chao-Hsien ;   et al.
2017-02-23
Semiconductor device metallization systems and methods
Grant 9,548,241 - Lee , et al. January 17, 2
2017-01-17
Semiconductor device and manufacturing method thereof
Grant 9,530,737 - Fu , et al. December 27, 2
2016-12-27
Interconnect having air gaps and polymer wrapped conductive lines
Grant 9,496,170 - Yang , et al. November 15, 2
2016-11-15
Integrated circuit interconnects and methods of making same
Grant 9,490,205 - Tsai , et al. November 8, 2
2016-11-08
Semiconductor devices and methods of manufacture thereof
Grant 9,484,302 - Yang , et al. November 1, 2
2016-11-01
Method for Via Plating with Seed Layer
App 20160240434 - Yang; Shin-Yi ;   et al.
2016-08-18
Interconnect Structure And Manufacturing Method Thereof
App 20160218035 - YANG; SHIN-YI ;   et al.
2016-07-28
Semiconductor Device Metallization Systems and Methods
App 20160181152 - Lee; Hsiang-Huan ;   et al.
2016-06-23
Interconnect Having Air Gaps and Polymer Wrapped Conductive Lines
App 20160172232 - Yang; Shin-Yi ;   et al.
2016-06-16
Method for via plating with seed layer
Grant 9,324,608 - Yang , et al. April 26, 2
2016-04-26
Interconnect structure and manufacturing method thereof
Grant 9,318,439 - Yang , et al. April 19, 2
2016-04-19
Semiconductor device metallization systems and methods
Grant 9,318,364 - Lee , et al. April 19, 2
2016-04-19
Interconnect structure including a continuous conductive body
Grant 9,281,263 - Lee , et al. March 8, 2
2016-03-08
Interconnect having air gaps and polymer wrapped conductive lines
Grant 9,269,668 - Yang , et al. February 23, 2
2016-02-23
Via Pre-fill On Back-end-of-the-line Interconnect Layer
App 20160049373 - Peng; Chao-Hsien ;   et al.
2016-02-18
Interconnect Having Air Gaps And Polymer Wrapped Conductive Lines
App 20160020176 - Yang; Shin-Yi ;   et al.
2016-01-21
Method and Apparatus for Back End of Line Semiconductor Device Processing
App 20160005648 - Yang; Shin-Yi ;   et al.
2016-01-07
Via pre-fill on back-end-of-the-line interconnect layer
Grant 9,219,033 - Peng , et al. December 22, 2
2015-12-22
Interconnect Structure And Manufacturing Method Thereof
App 20150325522 - YEH; CHING-FU ;   et al.
2015-11-12
Interconnect Structure And Manufacturing Method Thereof
App 20150270225 - YANG; SHIN-YI ;   et al.
2015-09-24
Via Pre-fill On Back-end-of-the-line Interconnect Layer
App 20150270215 - Peng; Chao-Hsien ;   et al.
2015-09-24
Method and apparatus for back end of line semiconductor device processing
Grant 9,142,505 - Yang , et al. September 22, 2
2015-09-22
Method for Via Plating with Seed Layer
App 20150255334 - Yang; Shin-Yi ;   et al.
2015-09-10
Integrated Circuit Interconnects and Methods of Making Same
App 20150255389 - Tsai; Cheng-Hsiung ;   et al.
2015-09-10
Semiconductor Devices and Methods of Manufacture Thereof
App 20150200164 - Yang; Shin-Yi ;   et al.
2015-07-16
Semiconductor Device Metallization Systems and Methods
App 20150197849 - Lee; Hsiang-Huan ;   et al.
2015-07-16
Method for via plating with seed layer
Grant 9,054,163 - Yang , et al. June 9, 2
2015-06-09
Integrated circuit interconnects and methods of making same
Grant 9,034,756 - Tsai , et al. May 19, 2
2015-05-19
Method for Via Plating with Seed Layer
App 20150126030 - Yang; Shin-Yi ;   et al.
2015-05-07
Semiconductor devices and methods of manufacture thereof
Grant 9,006,095 - Yang , et al. April 14, 2
2015-04-14
Method Of Semiconductor Integrated Circuit Fabrication
App 20150017799 - Lee; Ming-Han ;   et al.
2015-01-15
Method and Apparatus for Back End of Line Semiconductor Device Processing
App 20140367857 - Yang; Shin-Yi ;   et al.
2014-12-18
Semiconductor Devices and Methods of Manufacture Thereof
App 20140235049 - Yang; Shin-Yi ;   et al.
2014-08-21
Interconnect Structure Including A Continuous Conductive Body
App 20140225261 - Lee; Ming Han ;   et al.
2014-08-14
Method of semiconductor integrated circuit fabrication
Grant 8,749,060 - Lee , et al. June 10, 2
2014-06-10
Copper etch scheme for copper interconnect structure
Grant 8,735,278 - Lee , et al. May 27, 2
2014-05-27
Method Of Semiconductor Integrated Circuit Fabrication
App 20140084469 - Lee; Ming Han ;   et al.
2014-03-27
Integrated Circuit Interconnects and Methods of Making Same
App 20140027908 - Tsai; Cheng-Hsiung ;   et al.
2014-01-30
Novel Copper Etch Scheme for Copper Interconnect Structure
App 20140021611 - Lee; Ming Han ;   et al.
2014-01-23
Optical Inspecting System
App 20130169789 - Lee; Ming-Han ;   et al.
2013-07-04
Low resistance high reliability contact via and metal line structure for semiconductor device
Grant 8,106,512 - Lee , et al. January 31, 2
2012-01-31
Low resistance high reliability contact via and metal line structure for semiconductor device
Grant 8,013,445 - Lee , et al. September 6, 2
2011-09-06
Low Resistance High Reliability Contact Via And Metal Line Structure For Semiconductor Device
App 20110024908 - Lee; Hsiang-Huan ;   et al.
2011-02-03
Voltage regulating power supply for noise sensitive circuits
Grant 7,782,222 - Lee , et al. August 24, 2
2010-08-24
Task Switching
App 20100153877 - Rautava; Mika Antero ;   et al.
2010-06-17
Switching regulation device and related method with over-current protection
Grant 7,714,555 - Lee May 11, 2
2010-05-11
Dynamic bias control circuit and related apparatus for digital-to-analog converters
Grant 7,714,755 - Lee May 11, 2
2010-05-11
Digital-to-analog converter
Grant 7,714,756 - Huang , et al. May 11, 2
2010-05-11
Current output circuit with bias control and method thereof
Grant 7,701,370 - Lee April 20, 2
2010-04-20
Predictive Logic For Automatic Web Form Completion
App 20100037219 - Chen; Danny ;   et al.
2010-02-11
Forming diffusion barriers by annealing copper alloy layers
Grant 7,651,943 - Yu , et al. January 26, 2
2010-01-26
Low Resistance High Reliability Contact Via And Metal Line Structure For Semiconductor Device
App 20090218693 - Lee; Hsiang-Huan ;   et al.
2009-09-03
Forming Diffusion Barriers by Annealing Copper Alloy Layers
App 20090209099 - Yu; Chen-Hua ;   et al.
2009-08-20
Semiconductor Interconnection Structure And Method For Making The Same
App 20090117731 - Yu; Chen-Hua ;   et al.
2009-05-07
Switching regulator with over-current protection
Grant 7,498,789 - Lee March 3, 2
2009-03-03
Current Output Circuit With Bias Control And Method Thereof
App 20080291068 - Lee; Ming-Han
2008-11-27
Switching regulator
Grant 7,402,988 - Chiu , et al. July 22, 2
2008-07-22
Digital-to-analog Converter
App 20080117088 - Huang; Chen-Chih ;   et al.
2008-05-22
Dynamic Bias Control Circuit and Related Apparatus for Digital-to-analog Converters
App 20080043735 - Lee; Ming-Han
2008-02-21
Switching Regulation Device And Related Method With Over-current Protection
App 20070279028 - Lee; Ming-Han
2007-12-06
Voltage Regulating Power Supply For Noise Sensitive Circuits
App 20070200542 - Lee; Ming-Han ;   et al.
2007-08-30
Switching regulator with over-current protection
App 20070057654 - Lee; Ming-Han
2007-03-15
Switching regulator
App 20060197516 - Chiu; Pao-Cheng ;   et al.
2006-09-07

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed