name:-2.8604440689087
name:-3.3629159927368
name:-0.91048502922058
Lam Research Corporation Patent Filings

Lam Research Corporation

Patent Applications and Registrations

Patent applications and USPTO patent grants for Lam Research Corporation.The latest application filed is for "apparatus for photoresist dry deposition".

Company Profile
200.200.200
  • Lam Research Corporation - Fremont CA US
  • Lam Research Corporation - Lake Oswego OR US
  • Lam Research Corporation - Monte Sereno CA US
  • LAM RESEARCH CORPORATION - Pleasanton CA US
  • Lam Research Corporation - Santa Clara CA US
  • Lam Research Corporation - Tracy CA US
  • Lam Research Corporation - San Jose CA US
  • Lam Research Corporation - Hayward CA US
  • Lam Research Corporation - Campbell CA US
  • LAM RESEARCH CORPORATION - Frernont CA US
  • LAM RESEARCH CORPORATION - Tualatin OR US
  • LAM RESEARCH CORPORATION - Cupertino CA US
  • Lam Research Corporation - Newark CA US
  • LAM RESEARCH CORPORATION - Beaverton OR US
  • LAM RESEARCH CORPORATION - Wappingers Falls NY US
  • LAM RESEARCH CORPORATION - West Linn OR US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Trademarks
Patent Activity
PatentDate
Apparatus For Photoresist Dry Deposition
App 20220308462 - Berney; Butch ;   et al.
2022-09-29
Byproduct Removal From Electroplating Solutions
App 20220307152 - Richardson; Joseph ;   et al.
2022-09-29
Use Of Vacuum During Transfer Of Substrates
App 20220305601 - CHANDRASEKHARAN; Ramesh ;   et al.
2022-09-29
Bake Strategies To Enhance Lithographic Performance Of Metal-containing Resist
App 20220308454 - Weidman; Timothy William ;   et al.
2022-09-29
Low Angle Membrane Frame For An Electroplating Cell
App 20220298667 - WILMOT; Frederick Dean ;   et al.
2022-09-22
Substantially Carbon-free Molybdenum-containing And Tungsten-containing Films In Semiconductor Device Manufacturing
App 20220298624 - Blakeney; Kyle Jordan ;   et al.
2022-09-22
Spatially Tunable Deposition To Compensate Within Wafer Differential Bow
App 20220298632 - Shaikh; Fayaz A. ;   et al.
2022-09-22
Bidirectional Indexing Apparatus
App 20220298631 - Vintila; Adriana ;   et al.
2022-09-22
Gas Feed System For Surface Modified Depth Controlled Deposition For Plasma Based Deposition
App 20220301866 - Abel; Joseph ;   et al.
2022-09-22
Positive Tone Development Of Cvd Euv Resist Films
App 20220299877 - Weidman; Timothy William ;   et al.
2022-09-22
Stimulus Responsive Polymer Films And Formulations
App 20220301859 - BLACHUT; Gregory ;   et al.
2022-09-22
Plasma Viewport
App 20220301835 - Luo; Bin ;   et al.
2022-09-22
Method For Etching Features Using A Targeted Deposition For Selective Passivation
App 20220301853 - LIU; Wenchi ;   et al.
2022-09-22
Deposition of self assembled monolayer for enabling selective deposition and etch
Grant 11,450,532 - Lee , et al. September 20, 2
2022-09-20
Alternative integration for redistribution layer process
Grant 11,450,631 - Oberst , et al. September 20, 2
2022-09-20
Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
Grant 11,450,513 - Yang , et al. September 20, 2
2022-09-20
Metal Deposition
App 20220290300 - Vellanki; Ravi ;   et al.
2022-09-15
Thermal Atomic Layer Etch With Rapid Temperature Cycling
App 20220293431 - Panagopoulos; Theodoros ;   et al.
2022-09-15
Dynamic Process Control In Semiconductor Manufacturing
App 20220293442 - Kumar; Purushottam ;   et al.
2022-09-15
PECVD deposition system for deposition on selective side of the substrate
Grant 11,441,222 - Shaikh , et al. September 13, 2
2022-09-13
Planar substrate edge contact with open volume equalization pathways and side containment
Grant 11,443,975 - Breiling , et al. September 13, 2
2022-09-13
Edge Ring Systems For Substrate Processing Systems
App 20220285136 - HAN; Hui Ling ;   et al.
2022-09-08
Thermally Controlled Chandelier Showerhead
App 20220282377 - Luo; Bin ;   et al.
2022-09-08
Near Netshape Additive Manufacturing Using Low Temperature Plasma Jets
App 20220285134 - RAO; Abhinav Shekhar ;   et al.
2022-09-08
High Density, Modulus, And Hardness Amorphous Carbon Films At Low Pressure
App 20220282366 - Weimer; Matthew Scott ;   et al.
2022-09-08
Pedestal Setup Using Camera Wafer
App 20220282380 - KULKARNI; Prasanna ;   et al.
2022-09-08
Multi-state Rf Pulsing To Control Mask Shape And Breaking Selectivity Versus Process Margin Trade-off
App 20220285130 - Dole; Nikhil ;   et al.
2022-09-08
Frequency tuning for a matchless plasma source
Grant 11,437,219 - Long , et al. September 6, 2
2022-09-06
Semiconductor wafer processing apparatus
Grant D962,881 - Juco September 6, 2
2022-09-06
Substrate processing system with tandem source activation for CVD
Grant 11,434,567 - LaVoie , et al. September 6, 2
2022-09-06
Thermal Atomic Layer Deposition Of Silicon-containing Films
App 20220275510 - GUPTA; Awnish ;   et al.
2022-09-01
Ex Situ Coating Of Chamber Components For Semiconductor Processing
App 20220275504 - SHANBHAG; Damodar Rajaram ;   et al.
2022-09-01
Differential Contrast Plating For Advanced Packaging Applications
App 20220275531 - Banik; Stephen J. ;   et al.
2022-09-01
In Situ Real-time Sensing And Compensation Of Non-uniformities In Substrate Processing Systems
App 20220277928 - JING; Changyou ;   et al.
2022-09-01
Adjustment Of Power And Frequency Based On Three Or More States
App 20220277934 - Valcore, Jr.; John C. ;   et al.
2022-09-01
Manifold valve for multiple precursors
Grant 11,427,908 - Shanbhag , et al. August 30, 2
2022-08-30
Software emulator for hardware components in a gas delivery system of substrate processing system
Grant 11,429,409 - Pust , et al. August 30, 2
2022-08-30
Two-stage pin lifter for de-chuck operations
Grant 11,430,688 - Tian , et al. August 30, 2
2022-08-30
Tin Oxide Films In Semiconductor Device Manufacturing
App 20220270877 - Yu; Jengyi ;   et al.
2022-08-25
Defect Classification And Source Analysis For Semiconductor Equipment
App 20220270237 - Sawlani; Kapil ;   et al.
2022-08-25
Chamber-accumulation Extension Via In-situ Passivation
App 20220267900 - Fang; ZhiYuan ;   et al.
2022-08-25
Integrated Hardware-software Computer Vision System For Autonomous Control And Inspection Of Substrate Processing Systems
App 20220270901 - SADEGHI; Hossein ;   et al.
2022-08-25
Moveable Edge Rings With Reduced Capacitance Variation For Substrate Processing Systems
App 20220270863 - Kimball; Christopher ;   et al.
2022-08-25
Metal liner passivation and adhesion enhancement by zinc doping
Grant 11,424,158 - Dordi , et al. August 23, 2
2022-08-23
Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
Grant 11,424,103 - Zhang , et al. August 23, 2
2022-08-23
Systems And Methods For Reducing Effluent Build-up In A Pumping Exhaust System
App 20220259725 - XAVIER; Antonio ;   et al.
2022-08-18
Etching Metal-oxide And Protecting Chamber Components
App 20220258216 - Singhal; Akhil N. ;   et al.
2022-08-18
Method For Preventing Line Bending During Metal Fill Process
App 20220262640 - Jandl; Adam ;   et al.
2022-08-18
Spindle Assembly For Wafer Transfer In A Multi-station Process Module
App 20220262662 - Borth; Andrew ;   et al.
2022-08-18
Systems And Methods For Cleaning An Edge Ring Pocket
App 20220254616 - Hudson; Eric ;   et al.
2022-08-11
Integrated Adaptive Positioning Systems And Routines For Automated Wafer-handling Robot Teach And Health Check
App 20220254666 - Sadeghi; Hossein ;   et al.
2022-08-11
Chamber Component Cleanliness Measurement System
App 20220252548 - YASSERI; Amir A. ;   et al.
2022-08-11
Non-elastomeric, Non-polymeric, Non-metallic Membrane Valves For Semiconductor Processing Equipment
App 20220252183 - Gregor; Mariusch ;   et al.
2022-08-11
Atomic Layer Etch And Ion Beam Etch Patterning
App 20220254649 - TAN; Samantha SiamHwa ;   et al.
2022-08-11
Rf Pulsing Within Pulsing For Semiconductor Rf Plasma Processing
App 20220254608 - Long; Maolin ;   et al.
2022-08-11
Moveable Edge Rings With Reduced Capacitance Variation For Substrate Processing Systems
App 20220254612 - KIMBALL; Christopher ;   et al.
2022-08-11
Confinement Ring for Use in a Plasma Processing System
App 20220254614 - Dhindsa; Rajinder ;   et al.
2022-08-11
Nucleation-free Tungsten Deposition
App 20220254685 - ERMEZ; Sema ;   et al.
2022-08-11
Distance measurement between gas distribution device and substrate support at high temperatures
Grant 11,408,734 - Emerson , et al. August 9, 2
2022-08-09
Sealant Coating For Plasma Processing Chamber Components
App 20220246404 - HEINE; Benjamin Philip ;   et al.
2022-08-04
Photoresist Development With Halide Chemistries
App 20220244645 - Tan; Samantha SiamHwa ;   et al.
2022-08-04
Temperature Control Of A Multi-zone Pedestal
App 20220243332 - CHANDRASEKHARAN; Ramesh ;   et al.
2022-08-04
Automated Transfer Of Edge Ring Requiring Rotational Alignment
App 20220246408 - Genetti; Damon Tyrone ;   et al.
2022-08-04
Use Of Rotation To Correct For Azimuthal Non-uniformities In Semiconductor Substrate Processing
App 20220243323 - CHANDRASEKHARAN; Ramesh ;   et al.
2022-08-04
Processing Tool Capable For Forming Carbon Layers On Substrates
App 20220246428 - LEESER; Karl Frederick ;   et al.
2022-08-04
Power And Data Transmission To Substrate Support In Plasma Chambers Via Optical Fiber
App 20220247492 - JING; Changyou ;   et al.
2022-08-04
Selective deposition using hydrolysis
Grant 11,404,275 - Hausmann , et al. August 2, 2
2022-08-02
Controlling plating electrolyte concentration on an electrochemical plating apparatus
Grant 11,401,623 - He , et al. August 2, 2
2022-08-02
Rf Immune Sensor Probe For Monitoring A Temperature Of An Electrostatic Chuck Of A Substrate Processing System
App 20220238360 - TIAN; Siyuan ;   et al.
2022-07-28
Doped Or Undoped Silicon Carbide Deposition And Remote Hydrogen Plasma Exposure For Gapfill
App 20220238334 - YUAN; Guangbi ;   et al.
2022-07-28
Selective Carbon Deposition
App 20220235464 - GUPTA; Awnish ;   et al.
2022-07-28
SixNy AS A NUCLEATION LAYER FOR SiCxOy
App 20220235463 - Yuan; Guangbi ;   et al.
2022-07-28
In-situ Control Of Film Properties During Atomic Layer Deposition
App 20220238325 - Agnew; Douglas Walter ;   et al.
2022-07-28
Reduced Diameter Carrier Ring Hardware For Substrate Processing Systems
App 20220235459 - MADSEN; Eric
2022-07-28
Showerhead Insert For Uniformity Tuning
App 20220238312 - French; David Michael
2022-07-28
Polymerization Protective Liner For Reactive Ion Etch In Patterning
App 20220238349 - Nagabhirava; Bhaskar ;   et al.
2022-07-28
Doped Or Undoped Silicon Carbide Deposition And Remote Hydrogen Plasma Exposure For Gapfill
App 20220238333 - YUAN; Guangbi ;   et al.
2022-07-28
Systems And Methods For Compensating For Rf Power Loss
App 20220238307 - Evans; Mathew Dennis ;   et al.
2022-07-28
Thermoelectric Cooling Pedestal For Substrate Processing Systems
App 20220238415 - KUMAR; Mrinal ;   et al.
2022-07-28
Etching isolation features and dense features within a substrate
Grant 11,398,387 - Shoeb , et al. July 26, 2
2022-07-26
Voltage And Current Probe
App 20220230850 - MOPIDEVI; Hema Swaroop ;   et al.
2022-07-21
Independently Adjustable Flowpath Conductance In Multi-station Semiconductor Processing
App 20220228263 - Roberts; Michael Philip ;   et al.
2022-07-21
Electrochemical Deposition System Including Optical Probes
App 20220228287 - PFAU; Andrew James ;   et al.
2022-07-21
Variable Inductor Device
App 20220230802 - French; David Michael
2022-07-21
Systems and methods for controlling plasma instability in semiconductor fabrication
Grant 11,393,729 - Sakiyama , et al. July 19, 2
2022-07-19
Wafer transport assembly with integrated buffers
Grant 11,393,705 - Daugherty , et al. July 19, 2
2022-07-19
Low Resistivity Films Containing Molybdenum
App 20220223471 - THOMBARE; Shruti Vivek ;   et al.
2022-07-14
Substrate Sticking And Breakage Mitigation
App 20220220627 - Berke; Aaron ;   et al.
2022-07-14
High Power Electrostatic Chuck With Features Preventing He Hole Light-up/arcing
App 20220223387 - MATYUSHKIN; Alexander ;   et al.
2022-07-14
Rapid Tuning Of Critical Dimension Non-uniformity By Modulating Temperature Transients Of Multi-zone Substrate Supports
App 20220223440 - KUMAR; Ravi ;   et al.
2022-07-14
Method for conditioning a ceramic coating
Grant 11,384,430 - Shih , et al. July 12, 2
2022-07-12
Pad raising mechanism in wafer positioning pedestal for semiconductor processing
Grant 11,387,136 - Konkola , et al. July 12, 2
2022-07-12
Vapor Accumulator For Corrosive Gases With Purging
App 20220213599 - Lind; Gary Bridger ;   et al.
2022-07-07
High Selectivity, Low Stress, And Low Hydrogen Diamond-like Carbon Hardmasks By High Power Pulsed Low Frequency Rf
App 20220216037 - Weimer; Matthew Scott ;   et al.
2022-07-07
Atomic Layer Etch And Selective Deposition Process For Extreme Ultraviolet Lithography Resist Improvement
App 20220216050 - Yu; Jengyi ;   et al.
2022-07-07
Cooling Of Air Actuated Valve Using Actuating Air
App 20220213979 - Vintila; Adriana ;   et al.
2022-07-07
Gold Through Silicon Mask Plating
App 20220216104 - Chua; Lee Peng ;   et al.
2022-07-07
Systems And Methods For Multi-level Pulsing In Rf Plasma Tools
App 20220216038 - Wu; Ying ;   et al.
2022-07-07
Cooling plate for a semiconductor processing apparatus
Grant D956,705 - Luo , et al. July 5, 2
2022-07-05
Thermal atomic layer etch with rapid temperature cycling
Grant 11,380,556 - Panagopoulos , et al. July 5, 2
2022-07-05
Dielectric Gapfill Using Atomic Layer Deposition (ald), Inhibitor Plasma And Etching
App 20220205096 - ABEL; Joseph ;   et al.
2022-06-30
Alternating Etch And Passivation Process
App 20220208551 - Heo; Seongjun ;   et al.
2022-06-30
Modulated Atomic Layer Deposition
App 20220208543 - Soe; Chan Myae Myae ;   et al.
2022-06-30
Foreline Assembly For Quad Station Process Module
App 20220208575 - Vasquez; Miguel Benjamin ;   et al.
2022-06-30
Deposition Of Self Assembled Monolayer For Enabling Selective Deposition And Etch
App 20220208555 - LEE; Younghee ;   et al.
2022-06-30
Trim And Deposition Profile Control With Multi-zone Heated Substrate Support For Multi-patterning Processes
App 20220205105 - CHANDRASEKHARAN; Ramesh ;   et al.
2022-06-30
Protection Of Seed Layers During Electrodeposition Of Metals In Semiconductor Device Manufacturing
App 20220208604 - Zhu; Huanfeng ;   et al.
2022-06-30
Data Capture And Transformation To Support Data Analysis And Machine Learning For Substrate Manufacturing Systems
App 20220206996 - HUANG; Chung-Ho ;   et al.
2022-06-30
Surface modified depth controlled deposition for plasma based deposition
Grant 11,373,862 - Abel , et al. June 28, 2
2022-06-28
Electrostatic Chuck With Spatially Tunable Rf Coupling To A Wafer
App 20220199378 - DREWERY; John
2022-06-23
Carbon Based Depositions Used For Critical Dimension Control During High Aspect Ratio Feature Etches And For Forming Protective Layers
App 20220199417 - HENRI; Jon ;   et al.
2022-06-23
Extreme Ultraviolet (euv) Lithography Using An Intervening Layer Or A Multi-layer Stack With Varying Mean Free Paths For Secondary Electron Generation
App 20220197147 - LIANG; Andrew ;   et al.
2022-06-23
Deposition Of Metal Films
App 20220195598 - Collins; Joshua ;   et al.
2022-06-23
Atomic Layer Etching For Subtractive Metal Etch
App 20220199422 - YANG; Wenbing ;   et al.
2022-06-23
Dual-frequency, Direct-drive Inductively Coupled Plasma Source
App 20220199365 - Long; Maolin ;   et al.
2022-06-23
High Temperature Heating Of A Substrate In A Processing Chamber
App 20220199379 - LEE; James F. ;   et al.
2022-06-23
Systems And Methods For Optimizing Power Delivery To An Electrode Of A Plasma Chamber
App 20220199366 - Bhowmick; Ranadeep ;   et al.
2022-06-23
Modular-component System For Gas Delivery
App 20220199431 - Stumpf; John Folden ;   et al.
2022-06-23
Ex situ coating of chamber components for semiconductor processing
Grant 11,365,479 - Shanbhag , et al. June 21, 2
2022-06-21
Closed-loop Multiple-output Radio Frequency (rf) Matching
App 20220190854 - Juco; Eller Y. ;   et al.
2022-06-16
Turbomolecular Pump And Cathode Assembly For Etching Reactor
App 20220186734 - Lill; Thorsten ;   et al.
2022-06-16
Method and System for Automated Frequency Tuning of Radiofrequency (RF) Signal Generator for Multi-Level RF Power Pulsing
App 20220189738 - Evans; Mathew ;   et al.
2022-06-16
Friction Stir Welding In Semiconductor Manufacturing Applications
App 20220189817 - Linebarger, Jr.; Nick Ray ;   et al.
2022-06-16
Rapid Flush Purging During Atomic Layer Deposition
App 20220186370 - Nannapaneni; Pragna ;   et al.
2022-06-16
Bottom And Middle Edge Rings
App 20220189745 - RATHNASINGHE; Hiran Rajitha ;   et al.
2022-06-16
Surface Coating Treatment
App 20220186354 - ERICKSON; Ann ;   et al.
2022-06-16
Bottom And Middle Edge Rings
App 20220189744 - RATHNASINGHE; Hiran Rajitha ;   et al.
2022-06-16
Adjustment of power and frequency based on three or more states
Grant 11,361,942 - Valcore, Jr. , et al. June 14, 2
2022-06-14
High Etch Selectivity, Low Stress Ashable Carbon Hard Mask
App 20220181147 - XUE; Jun ;   et al.
2022-06-09
Electrostatic Chuck For Use In Semiconductor Processing
App 20220181184 - GOMM; Troy Alan
2022-06-09
Electrostatic Chuck System
App 20220181127 - ERICKSON; Ann ;   et al.
2022-06-09
Lamellar Ceramic Structure
App 20220181126 - Hollingsworth; Joel Philip ;   et al.
2022-06-09
Apparatus For Cleaning Plasma Chambers
App 20220181128 - LAVOIE; Adrien ;   et al.
2022-06-09
High Step Coverage Tungsten Deposition
App 20220181158 - Bowes; Michael ;   et al.
2022-06-09
Etch Stop Layer
App 20220181141 - van Schravendijk; Bart J. ;   et al.
2022-06-09
Thermal imaging for within wafer variability feedforward or feedback information
Grant 11,353,364 - Thompson June 7, 2
2022-06-07
Method for preventing line bending during metal fill process
Grant 11,355,345 - Jandl , et al. June 7, 2
2022-06-07
Tin oxide mandrels in patterning
Grant 11,355,353 - Yu , et al. June 7, 2
2022-06-07
Early Warning Systems And Methods For Determining Capacitor Failures
App 20220172933 - Kapoor; Sunil ;   et al.
2022-06-02
Tungsten Feature Fill With Inhibition Control
App 20220172987 - Yang; Tsung-Han ;   et al.
2022-06-02
Electrostatic Chuck Heater Resistance Measurement To Approximate Temperature
App 20220172925 - Jing; Changyou ;   et al.
2022-06-02
High Density, Controlled Integrated Circuits Factory
App 20220171370 - LILL; Thorsten ;   et al.
2022-06-02
Model-based Scheduling For Substrate Processing Systems
App 20220171373 - CHAU; Raymond ;   et al.
2022-06-02
Wafer Placement Correction In Indexed Multi-station Processing Chambers
App 20220172967 - Topping; Stephen ;   et al.
2022-06-02
Precursors For Deposition Of Molybdenum-containing Films
App 20220170155 - Blakeney; Kyle Jordan
2022-06-02
Etchant composition
Grant 11,345,852 - Hecke , et al. May 31, 2
2022-05-31
Metal fill process for three-dimensional vertical NAND wordline
Grant 11,348,795 - Schloss , et al. May 31, 2
2022-05-31
Pecvd Deposition System For Deposition On Selective Side Of The Substrate
App 20220162755 - Shaikh; Fayaz ;   et al.
2022-05-26
Process Cooling-water Isolation
App 20220165549 - Sonti; Sreeram
2022-05-26
Systems And Methods For Tuning A Mhz Rf Generator Within A Cycle Of Operation Of A Khz Rf Generator
App 20220165543 - Howald; Arthur M. ;   et al.
2022-05-26
Method For Providing Doped Silicon
App 20220165563 - KUMAR; Purushottam ;   et al.
2022-05-26
Plasma Etch Tool For High Aspect Ratio Etching
App 20220165546 - Lill; Thorsten ;   et al.
2022-05-26
Tin Oxide Films In Semiconductor Device Manufacturing
App 20220165571 - Yu; Jengyi ;   et al.
2022-05-26
Pedestals For Modulating Film Properties In Atomic Layer Deposition (ald) Substrate Processing Chambers
App 20220162749 - LAVOIE; Adrien ;   et al.
2022-05-26
Pecvd Deposition System For Deposition On Selective Side Of The Substrate
App 20220162753 - Shaikh; Fayaz ;   et al.
2022-05-26
Pecvd Deposition System For Deposition On Selective Side Of The Substrate
App 20220162754 - Shaikh; Fayaz ;   et al.
2022-05-26
RF pulsing within pulsing for semiconductor RF plasma processing
Grant 11,342,159 - Long , et al. May 24, 2
2022-05-24
Confinement ring for use in a plasma processing system
Grant 11,342,166 - Dhindsa , et al. May 24, 2
2022-05-24
Variable depth edge ring for etch uniformity control
Grant 11,342,163 - Angelov , et al. May 24, 2
2022-05-24
Variable Cycle And Time Rf Activation Method For Film Thickness Matching In A Multi-station Deposition System
App 20220154336 - Karim; Ishtak ;   et al.
2022-05-19
Combiner And Distributor For Adjusting Impedances Or Power Across Multiple Plasma Processing Stations
App 20220158604 - Kapoor; Sunil ;   et al.
2022-05-19
Reducing Roughness Of Extreme Ultraviolet Lithography Resists
App 20220157617 - Zhou; Xiang ;   et al.
2022-05-19
Systems and methods for reducing effluent build-up in a pumping exhaust system
Grant 11,332,824 - Xavier , et al. May 17, 2
2022-05-17
Systems and methods for optimizing power delivery to an electrode of a plasma chamber
Grant 11,335,539 - Bhowmick , et al. May 17, 2
2022-05-17
Selective Silicon Dioxide Removal Using Low Pressure Low Bias Deuterium Plasma
App 20220148852 - Shoeb; Juline ;   et al.
2022-05-12
Minimizing Radical Recombination Using Ald Silicon Oxide Surface Coating With Intermittent Restoration Plasma
App 20220145459 - VARADARAJAN; Bhadri N. ;   et al.
2022-05-12
Electrostatic Chuck With Ceramic Monolithic Body
App 20220148903 - WANG; Feng ;   et al.
2022-05-12
Measurement System To Measure A Thickness Of An Adjustable Edge Ring For A Substrate Processing System
App 20220146258 - SADEGHI; Hossein
2022-05-12
Mutually Induced Filters
App 20220149801 - Kapoor; Sunil ;   et al.
2022-05-12
Showerhead With Configurable Gas Outlets
App 20220136107 - LEE; James F. ;   et al.
2022-05-05
Multi-station Semiconductor Processing With Independently Adjustable Pedestals
App 20220136104 - Pasquale; Frank Loren ;   et al.
2022-05-05
Apparatuses And Methods For Avoiding Electrical Breakdown From Rf Terminal To Adjacent Non-rf Terminal
App 20220139670 - Kim; Hyungjoon ;   et al.
2022-05-05
Macroscopic Texturing For Anodized And Coated Surfaces
App 20220139677 - Peng; Gordon Wen-Yin ;   et al.
2022-05-05
Fixture For Automatic Calibration Of Substrate Transfer Robot
App 20220134568 - BLANK; Richard ;   et al.
2022-05-05
Multi-channel Liquid Delivery System For Advanced Semiconductor Applications
App 20220139730 - VASQUEZ; Miguel Benjamin ;   et al.
2022-05-05
Cooling For A Plasma-based Reactor
App 20220139671 - Drewery; John Stephen ;   et al.
2022-05-05
Chuck For Plasma Processing Chamber
App 20220139681 - ERICKSON; Ann ;   et al.
2022-05-05
Tin oxide films in semiconductor device manufacturing
Grant 11,322,351 - Yu , et al. May 3, 2
2022-05-03
Controller for controlling core critical dimension variation using flash trim sequence
Grant 11,322,416 - Agarwal , et al. May 3, 2
2022-05-03
Ion Beam Etching With Sidewall Cleaning
App 20220131071 - Lill; Thorsten ;   et al.
2022-04-28
Electrostatic Chuck With Powder Coating
App 20220130705 - SMITH; Jeremy George ;   et al.
2022-04-28
Substrate Location Detection And Adjustment
App 20220126454 - Martin; Michael John ;   et al.
2022-04-28
Underlayer for photoresist adhesion and dose reduction
Grant 11,314,168 - Tan , et al. April 26, 2
2022-04-26
Substrate Processing Tool Capable Of Modulating One Or More Plasma Temporally And/or Spatially
App 20220119954 - CHEN; Lee ;   et al.
2022-04-21
Eliminating Yield Impact Of Stochastics In Lithography
App 20220122846 - Shamma; Nader ;   et al.
2022-04-21
Selective Etch Using A Sacrificial Mask
App 20220122848 - PETER; Daniel ;   et al.
2022-04-21
Removing Bubbles From Plating Cells
App 20220119977 - BANIK; Stephen J. ;   et al.
2022-04-21
Automated Process Module Ring Positioning And Replacement
App 20220122878 - Wu; Joanna ;   et al.
2022-04-21
Atomic Layer Etching Of Tungsten For Enhanced Tungsten Deposition Fill
App 20220115244 - LAI; Chiukin Steven ;   et al.
2022-04-14
Laminated Aerosol Deposition Coating For Aluminum Components For Plasma Processing Chambers
App 20220115214 - XU; Lin ;   et al.
2022-04-14
Conformal Damage-free Encapsulation Of Chalcogenide Materials
App 20220115592 - Sims; James Samuel ;   et al.
2022-04-14
Carrier Ring Designs For Controlling Deposition On Wafer Bevel/edge
App 20220115261 - Janicki; Michael J. ;   et al.
2022-04-14
Matchless Plasma Source For Semiconductor Wafer Fabrication
App 20220117074 - Long; Maolin ;   et al.
2022-04-14
Apparatus for spatial and temporal control of temperature on a substrate
Grant 11,302,556 - Ricci , et al. April 12, 2
2022-04-12
High density hole pattern dual plenum hole showerhead assembly
Grant D948,658 - Miller , et al. April 12, 2
2022-04-12
Showerhead Shroud
App 20220110230 - Borth; Andrew ;   et al.
2022-04-07
Carrier Ring Designs For Controlling Deposition On Wafer Bevel/edge
App 20220108912 - Janicki; Michael J. ;   et al.
2022-04-07
Multi-location Gas Injection To Improve Uniformity In Rapid Alternating Processes
App 20220108875 - THIE; William ;   et al.
2022-04-07
Remote-plasma Clean (rpc) Directional-flow Device
App 20220107619 - Janicki; Michael J. ;   et al.
2022-04-07
Differential-pressure-based Flow Meters
App 20220107212 - Stumpf; John Folden ;   et al.
2022-04-07
Auto-calibration To A Station Of A Process Module That Spins A Wafer
App 20220108902 - Hiester; Jacob L. ;   et al.
2022-04-07
Dielectric gapfill using atomic layer deposition (ALD), inhibitor plasma and etching
Grant 11,293,098 - Abel , et al. April 5, 2
2022-04-05
Feature Fill With Nucleation Inhibition
App 20220102208 - Chandrashekar; Anand ;   et al.
2022-03-31
Electrodeposition Of Cobalt Tungsten Films
App 20220102209 - Spurlin; Tighe A. ;   et al.
2022-03-31
Ion Beam Etching With Gas Treatment And Pulsing
App 20220102624 - YUN; Seokmin ;   et al.
2022-03-31
Electrostatic chuck for use in semiconductor processing
Grant 11,289,355 - Gomm March 29, 2
2022-03-29
Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
Grant 11,286,560 - Phillips , et al. March 29, 2
2022-03-29
Ion beam etching utilizing cryogenic wafer temperatures
Grant 11,289,306 - Lill , et al. March 29, 2
2022-03-29
Showerhead Shroud
App 20220093372 - BORTH; Andrew ;   et al.
2022-03-24
Showerhead For Deposition Tools Having Multiple Plenums And Gas Distribution Chambers
App 20220093366 - JANICKI; Michael J. ;   et al.
2022-03-24
Textured Silicon Semiconductor Processing Chamber Components
App 20220093370 - XU; Lin ;   et al.
2022-03-24
Atomic Layer Treatment Process Using Metastable Activated Radical Species
App 20220093365 - BAO; Xinyu ;   et al.
2022-03-24
Designer Atomic Layer Etching
App 20220093413 - Kanarik; Keren Jacobs
2022-03-24
Moving substrate transfer chamber
Grant 11,282,737 - Brown , et al. March 22, 2
2022-03-22
Removal of electroplating bath additives
Grant 11,280,022 - Spurlin , et al. March 22, 2
2022-03-22
Systems And Methods For Filtering Radio Frequencies From A Signal Of A Thermocouple And Controlling A Temperature Of An Electrode In A Plasma Chamber
App 20220084776 - BURKHART; Vincent ;   et al.
2022-03-17
Metal Atomic Layer Etch And Deposition Apparatuses And Processes With Metal-free Ligands
App 20220084838 - ZHANG; He ;   et al.
2022-03-17
Plasma processing system having an inspection tool and controller that interfaces with a tool model
Grant 11,276,564 - Gottscho March 15, 2
2022-03-15
Integrated Wafer Bow Measurements
App 20220074869 - Arora; Rajan ;   et al.
2022-03-10
Vacuum-integrated Hardmask Processes And Apparatus
App 20220075260 - Marks; Jeffrey ;   et al.
2022-03-10
Substrate Processing System Including Dual Ion Filter For Downstream Plasma
App 20220076924 - BRAVO; Andrew Stratton ;   et al.
2022-03-10
Semiconductor Mask Reshaping Using A Sacrificial Layer
App 20220076962 - Tan; Zhongkui ;   et al.
2022-03-10
Cooling System For Processing Chamber
App 20220074627 - FLYNN; Kevin ;   et al.
2022-03-10
Apparatus for UV flowable dielectric
Grant 11,270,896 - Mohn , et al. March 8, 2
2022-03-08
Etching carbon layer using doped carbon as a hard mask
Grant 11,270,890 - Jain , et al. March 8, 2
2022-03-08
Resistive Random Access Memory With Preformed Filaments
App 20220069218 - YOON; Hyungsuk ;   et al.
2022-03-03
Low Stress Films For Advanced Semiconductor Applications
App 20220068636 - Bayati; Reza ;   et al.
2022-03-03
Long-life Extended Temperature Range Embedded Diode Design For Electrostatic Chuck With Multiplexed Heaters Array
App 20220068691 - TIAN; Siyuan
2022-03-03
Apparatuses and methods for avoiding electrical breakdown from RF terminal to adjacent non-RF terminal
Grant 11,264,207 - Kim , et al. March 1, 2
2022-03-01
Defect classification and source analysis for semiconductor equipment
Grant 11,263,737 - Sawlani , et al. March 1, 2
2022-03-01
Gapfill Of Variable Aspect Ratio Features With A Composite Peald And Pecvd Method
App 20220059348 - Kang; Hu ;   et al.
2022-02-24
Eliminating yield impact of stochastics in lithography
Grant 11,257,674 - Shamma , et al. February 22, 2
2022-02-22
Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
Grant 11,255,017 - Qian , et al. February 22, 2
2022-02-22
Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
Grant 11,258,421 - Kapoor , et al. February 22, 2
2022-02-22
Mutually induced filters
Grant 11,258,420 - Kapoor , et al. February 22, 2
2022-02-22
Control Of Wafer Bow In Multiple Stations
App 20220051919 - Augustyniak; Edward ;   et al.
2022-02-17
Film Stack Simplification For High Aspect Ratio Patterning And Vertical Scaling
App 20220051938 - Wu; Hui-Jung ;   et al.
2022-02-17
Magnetic Shielding For Plasma Sources
App 20220044864 - Mopidevi; Hema Swaroop ;   et al.
2022-02-10
Multi zone substrate support for ALD film property correction and tunability
Grant 11,236,422 - Roberts , et al. February 1, 2
2022-02-01
Conformal damage-free encapsulation of chalcogenide materials
Grant 11,239,420 - Sims , et al. February 1, 2
2022-02-01
Designer atomic layer etching
Grant 11,239,094 - Kanarik February 1, 2
2022-02-01
Auto-calibration to a station of a process module that spins a wafer
Grant 11,239,100 - Hiester , et al. February 1, 2
2022-02-01
Electrostatic chucking pedestal with substrate backside purging and thermal sinking
Grant 11,232,966 - Thomas , et al. January 25, 2
2022-01-25
Actuator to adjust dynamically showerhead tilt in a semiconductor-processing apparatus
Grant 11,230,765 - Wiltse January 25, 2
2022-01-25
3D printed plasma arrestor for an electrostatic chuck
Grant 11,227,749 - Kellogg January 18, 2
2022-01-18
Control of current density in an electroplating apparatus
Grant 11,225,727 - He , et al. January 18, 2
2022-01-18
Atomic layer deposition of tungsten for enhanced fill and reduced substrate attack
Grant 11,225,712 - Collins , et al. January 18, 2
2022-01-18
Electrochemical doping of thin metal layers employing underpotential deposition and thermal treatment
Grant 11,225,714 - Venkatraman , et al. January 18, 2
2022-01-18
Matchless plasma source for semiconductor wafer fabrication
Grant 11,224,116 - Long , et al. January 11, 2
2022-01-11
Removing bubbles from plating cell
Grant 11,214,887 - Banik , et al. January 4, 2
2022-01-04
Monitoring surface oxide on seed layers during electroplating
Grant 11,208,732 - Huang , et al. December 28, 2
2021-12-28
Atomic layer deposition and etch in a single plasma chamber for critical dimension control
Grant 11,211,253 - Zhou , et al. December 28, 2
2021-12-28
Vacuum-integrated hardmask processes and apparatus
Grant 11,209,729 - Marks , et al. December 28, 2
2021-12-28
Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
Grant 11,195,706 - Marakhtanov , et al. December 7, 2
2021-12-07
Systems and methods for filtering radio frequencies from a signal of a thermocouple and controlling a temperature of an electrode in a plasma chamber
Grant 11,189,452 - Burkhart , et al. November 30, 2
2021-11-30
Control of wafer bow in multiple stations
Grant 11,183,406 - Augustyniak , et al. November 23, 2
2021-11-23
RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
Grant 11,183,368 - French , et al. November 23, 2
2021-11-23
Tin oxide thin film spacers in semiconductor device manufacturing
Grant 11,183,383 - Smith , et al. November 23, 2
2021-11-23
Determining tilt angle in patterned arrays of high aspect-ratio structures by small-angle x-ray scattering
Grant 11,181,489 - Thompson , et al. November 23, 2
2021-11-23
Progressive heating of components of substrate processing systems using TCR element-based heaters
Grant 11,183,400 - Chandrasekharan , et al. November 23, 2
2021-11-23
Dynamic precursor dosing for atomic layer deposition
Grant 11,180,850 - Kumar , et al. November 23, 2
2021-11-23
Magnetic shielding for plasma sources
Grant 11,177,067 - Mopidevi , et al. November 16, 2
2021-11-16
Copper electrodeposition on cobalt lined features
Grant 11,168,407 - Velmurugan , et al. November 9, 2
2021-11-09
Method for etching an etch layer
Grant 11,171,011 - Hudson , et al. November 9, 2
2021-11-09
Internal plasma grid for semiconductor fabrication
Grant 11,171,021 - Singh , et al. November 9, 2
2021-11-09
Atomic layer deposition and etch for reducing roughness
Grant 11,170,997 - Zhou , et al. November 9, 2
2021-11-09
Semiconductor wafer processing tool
Grant D935,424 - Juco November 9, 2
2021-11-09
High speed synchronization of plasma source/bias power delivery
Grant 11,158,488 - Radomski , et al. October 26, 2
2021-10-26
Apparatus for thermal control of tubing assembly and associated methods
Grant 11,131,480 - Leeser September 28, 2
2021-09-28
Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
Grant 11,133,180 - Kang , et al. September 28, 2
2021-09-28
Ceramic baseplate with channels having non-square corners
Grant 11,133,211 - Wang , et al. September 28, 2
2021-09-28
Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
Grant 11,127,567 - Kang , et al. September 21, 2
2021-09-21
Peripheral RF feed and symmetric RF return for symmetric RF delivery
Grant 11,127,571 - Nam , et al. September 21, 2
2021-09-21
Method to selectively pattern a surface for plasma resistant coat applications
Grant 11,124,659 - Yasseri , et al. September 21, 2
2021-09-21
Split chamber assembly
Grant 11,127,610 - Antolik September 21, 2
2021-09-21
Systems and methods for UV-based suppression of plasma instability
Grant 11,120,989 - Swaminathan September 14, 2
2021-09-14
Systems for removing and replacing consumable parts from a semiconductor process module in situ
Grant 11,112,773 - Trussell , et al. September 7, 2
2021-09-07
Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
Grant 11,111,581 - Xia , et al. September 7, 2
2021-09-07
Selective growth of metal-containing hardmask thin films
Grant 11,107,683 - Smith , et al. August 31, 2
2021-08-31
Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
Grant 11,101,164 - Batzer , et al. August 24, 2
2021-08-24
Ceramic layer for electrostatic chuck including embedded faraday cage for RF delivery and associated methods
Grant 11,101,107 - Benjamin , et al. August 24, 2
2021-08-24
Ultrathin atomic layer deposition film accuracy thickness control
Grant 11,101,129 - Qian , et al. August 24, 2
2021-08-24
Selective deposition of etch-stop layer for enhanced patterning
Grant 11,094,542 - Shankar , et al. August 17, 2
2021-08-17
Method to create air gaps
Grant 11,088,019 - Van Cleemput , et al. August 10, 2
2021-08-10
Optimized low energy / high productivity deposition system
Grant 11024531 -
2021-06-01
Symmetric precursor delivery
Grant 11021792 -
2021-06-01
Electrostatic chuck design for cooling-gas light-up prevention
Grant 11024532 -
2021-06-01
Copper Electrofill On Non-copper Liner Layers
App 20210156045A1 -
2021-05-27
Resist And Etch Modeling
App 20210157228A1 -
2021-05-27
Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
Grant 11015247 -
2021-05-25
Method and Apparatus for Anisotropic Pattern Etching and Treatment
App 20210151290A1 -
2021-05-20
Copper Electrodeposition Sequence For The Filling Of Cobalt Lined Features
App 20210151322A1 -
2021-05-20
Tunable Upper Plasma-exclusion-zone Ring For A Bevel Etcher
App 20210151297A1 -
2021-05-20
Monoenergetic ion generation for controlled etch
Grant 11011351 -
2021-05-18
Systems and methods for performing edge ring characterization
Grant 11011353 -
2021-05-18
Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
Grant 11008655 -
2021-05-18
Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
Grant 11011388 -
2021-05-18
Temperature-tuned substrate support for substrate processing systems
Grant 11011355 -
2021-05-18
Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
Grant 11011379 -
2021-05-18
Methods and apparatus for flow isolation and focusing during electroplating
Grant 11001934 -
2021-05-11
Temperature controlled spacer for use in a substrate processing chamber
Grant 11004662 -
2021-05-11
Method of etch model calibration using optical scatterometry
Grant 10997345 -
2021-05-04
Selective deposition with atomic layer etch reset
Grant 10998187 -
2021-05-04
Ion beam etch without need for wafer tilt or rotation
Grant 10998167 -
2021-05-04
Systems and methods for combining optical metrology with mass metrology
Grant 10989652 -
2021-04-27
Apparatus for measuring condition of electroplating cell components and associated methods
Grant 10989747 -
2021-04-27
Modular recipe controlled calibration (MRCC) apparatus used to balance plasma in multiple station system
Grant 10991550 -
2021-04-27
Rotational Indexer With Additional Rotational Axes
App 20210118715A1 -
2021-04-22
Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
Grant 10982346 -
2021-04-20
Sensor and adjuster for a consumable
Grant 10985078 -
2021-04-20
Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
Grant 10984987 -
2021-04-20
Methods for Depositing a Film on a Backside of a Substrate
App 20210108314A1 -
2021-04-15
Plasma Etching Device With Plasma Etch Resistant Coating
App 20210110998A9 -
2021-04-15
Fill process optimization using feature scale modeling
Grant 10977405 -
2021-04-13
One-piece anode for tuning electroplating at an edge of a substrate
Grant 10975489 -
2021-04-13
Substrate holder having integrated temperature measurement electrical devices
Grant 10978323 -
2021-04-13
Method of improving deposition induced CD imbalance using spatially selective ashing of carbon based film
Grant 10978302 -
2021-04-13
Thermal Atomic Layer Etch With Rapid Temperature Cycling
App 20210104414A1 -
2021-04-08
Conditioning chamber component
Grant 10967407 -
2021-04-06
High flow multi-way piston valve for deposition systems
Grant 10969036 -
2021-04-06
Chuck for edge bevel removal and method for centering a wafer prior to edge bevel removal
Grant 10971388 -
2021-04-06
Auto-calibrated process independent feedforward control for processing substrates
Grant 10971384 -
2021-04-06
Mems-based Coriolis Mass Flow Controller
App 20210096011A1 -
2021-04-01
Selective Processing With Etch Residue-based Inhibitors
App 20210098257A1 -
2021-04-01
Capacitance Measurement Without Disconnecting From High Power Circuit
App 20210098233A1 -
2021-04-01
Electrode for plasma processing chamber
Grant 10964514 -
2021-03-30
Apparatus including metallized-ceramic tubes for radio-frequency and gas delivery
Grant 10964545 -
2021-03-30
Carrier Plate For Use In Plasma Processing Systems
App 20210090936A1 -
2021-03-25
Rf Current Measurement In Semiconductor Processing Tool
App 20210090962A1 -
2021-03-25
Methods and apparatuses for electroplating nickel using sulfur-free nickel anodes
Grant 10954604 -
2021-03-23
Apparatus and method for deposition and etch in gap fill
Grant 10957514 -
2021-03-23
Long-life high-power terminals for substrate support with embedded heating elements
Grant 10957520 -
2021-03-23
Gas delivery system
Grant 10957561 -
2021-03-23
Image based plasma sheath profile detection on plasma processing tools
Grant 10957521 -
2021-03-23
Dual-mode Autonomous Guided Vehicle
App 20210080968A1 -
2021-03-18
Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
Grant 10950421 -
2021-03-16
Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
Grant 10950454 -
2021-03-16
Electrostatic chuck filter box and mounting bracket
Grant 10944374 -
2021-03-09
Gas distributor and flow verifier
Grant 10943769 -
2021-03-09
Rotary friction welded blank for PECVD heated showerhead
Grant 10941489 -
2021-03-09
Methods and systems for advanced ion control for etching processes
Grant 10943789 -
2021-03-09
Tunable upper plasma-exclusion-zone ring for a bevel etcher
Grant 10937634 -
2021-03-02
Method To Clean Sno2 Film From Chamber
App 20210057208A1 -
2021-02-25
Controlling plating electrolyte concentration on an electrochemical plating apparatus
Grant 10927475 -
2021-02-23
Apparatus with optical cavity for determining process rate
Grant 10930478 -
2021-02-23
Copper electrodeposition sequence for the filling of cobalt lined features
Grant 10930511 -
2021-02-23
Connector For Substrate Support With Embedded Temperature Sensors
App 20210047732A1 -
2021-02-18
Systems For Cooling Rf Heated Chamber Components
App 20210050188A1 -
2021-02-18
Carrier plate for use in plasma processing systems
Grant 10923385 -
2021-02-16
Articulated direct-mount inductor and associated systems and methods
Grant 10923322 -
2021-02-16
Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
Grant 10923340 -
2021-02-16
Methods for controlling clamping of insulator-type substrate on electrostatic-type substrate support structure
Grant 10923379 -
2021-02-16
Electrostatically clamped edge ring
Grant 10923380 -
2021-02-16
Manifold Valve For Multiple Precursors
App 20210040611A1 -
2021-02-11
Electrostatic Chuck (esc) Pedestal Voltage Isolation
App 20210043490A1 -
2021-02-11
Monolithic gas distribution manifold and various construction techniques and use cases therefor
Grant 10914003 -
2021-02-09
Active control of radial etch uniformity
Grant 10916409 -
2021-02-09
Feature fill with multi-stage nucleation inhibition
Grant 10916434 -
2021-02-09
Amorphous Carbon Layer Opening Process
App 20210035796A1 -
2021-02-04
Optical Metrology In Machine Learning To Characterize Features
App 20210035833A1 -
2021-02-04
Substrate support with improved process uniformity
Grant 10910195 -
2021-02-02
Systems and methods for reducing power reflected towards a higher frequency RF generator during a period of a lower RF generator and for using a relationship to reduce reflected power
Grant 10911081 -
2021-02-02
Modifying Ferroelectric Properties Of Hafnium Oxide With Hafnium Nitride Layers
App 20210028273A1 -
2021-01-28
Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
Grant 10903050 -
2021-01-26
Substrate processing chamber with showerhead having cooled faceplate
Grant 10900124 -
2021-01-26
Halogen removal module and associated systems and methods
Grant 10903065 -
2021-01-26
Asymmetric wafer bow compensation by chemical vapor deposition
Grant 10903070 -
2021-01-26
Selective deposition of silicon oxide
Grant 10903071 -
2021-01-26
Chamfer-less Via Integration Scheme
App 20210017643A1 -
2021-01-21
In Situ Inverse Mask Patterning
App 20210020441A1 -
2021-01-21
Capping Layer For A Hafnium Oxide-based Ferroelectric Material
App 20210020433A1 -
2021-01-21
Maintenance mode power supply system
Grant 10896808 -
2021-01-19
In-situ chamber clean end point detection systems and methods using computer vision systems
Grant 10895539 -
2021-01-19
Asymmetric wafer bow compensation by physical vapor deposition
Grant 10896821 -
2021-01-19
Ceramic foam for helium light-up suppression
Grant 10896837 -
2021-01-19
Methods For Making Euv Patternable Hard Masks
App 20210013034A1 -
2021-01-14
Electrostatic Chuck With Seal Surface
App 20210013080A1 -
2021-01-14
Electrostatic chuck including clamp electrode assembly forming portion of Faraday cage for RF delivery and associated methods
Grant 10892179 -
2021-01-12
Edge seal configurations for a lower electrode assembly
Grant 10892197 -
2021-01-12
Atomic Layer Etching And Smoothing Of Refractory Metals And Other High Surface Binding Energy Materials
App 20210005425A1 -
2021-01-07
Selective Deposition Using Hydrolysis
App 20210005460A1 -
2021-01-07
Plasma Etching Chemistries Of High Aspect Ratio Features In Dielectrics
App 20210005472A1 -
2021-01-07
Electrostatic Chucks With Coolant Gas Zones And Corresponding Groove And Monopolar Electrostatic Clamping Electrode Patterns
App 20210005494A1 -
2021-01-07
Wafer Transport Assembly With Integrated Buffers
App 20210005485A1 -
2021-01-07
Auxiliary circuit in RF matching network for frequency tuning assisted dual-level pulsing
Grant 10879044 -
2020-12-29
Flow through line charge volume
Grant 10879048 -
2020-12-29
Fault detection using showerhead voltage variation
Grant 10879092 -
2020-12-29
Temperature controlled substrate support assembly
Grant 10879053 -
2020-12-29
High Energy Atomic Layer Etching
App 20200402770A1 -
2020-12-24
Self-limiting Growth
App 20200402846A1 -
2020-12-24
Eliminating Yield Impact Of Stochastics In Lithography
App 20200402801A1 -
2020-12-24
Controlling showerhead heating via resistive thermal measurements
Grant 10872747 -
2020-12-22
Moment cancelling pad raising mechanism in wafer positioning pedestal for semiconductor processing
Grant 10870922 -
2020-12-22
Systems and methods for correcting non-uniformities in plasma processing of substrates
Grant 10872748 -
2020-12-22
Apparatus for purging semiconductor process chamber slit valve opening
Grant 10872787 -
2020-12-22
Replaceable And/or Collapsible Edge Ring Assemblies For Plasma Sheath Tuning Incorporating Edge Ring Positioning And Centering Features
App 20200395195A1 -
2020-12-17
Three or more states for achieving high aspect ratio dielectric etch
Grant 10861708 -
2020-12-08
Moving Substrate Transfer Chamber
App 20200381285A1 -
2020-12-03
PECVD deposition system for deposition on selective side of the substrate
Grant 10851457 -
2020-12-01
Connector for substrate support with embedded temperature sensors
Grant 10851458 -
2020-12-01
Systems and methods for tuning an impedance matching network in a step-wise fashion
Grant 10853444 -
2020-12-01
Edge ring assembly for improving feature profile tilting at extreme edge of wafer
Grant 10854492 -
2020-12-01
System And Method For Edge Ring Wear Compensation
App 20200373193A1 -
2020-11-26
Direct drive RF circuit for substrate processing systems
Grant 10847345 -
2020-11-24
Conformality modulation of metal oxide films using chemical inhibition
Grant 10843618 -
2020-11-24
Compensating chamber and process effects to improve critical dimension variation for trim process
Grant 10847352 -
2020-11-24
Method of achieving high selectivity for high aspect ratio dielectric etch
Grant 10847377 -
2020-11-24
Method of feature exaction from time-series of spectra to control endpoint of process
Grant 10847430 -
2020-11-24
Selective atomic layer etching
Grant 10847375 -
2020-11-24
Method for etching features in a stack
Grant 10847374 -
2020-11-24
Substrate processing chamber including conical surface for reducing recirculation
Grant 10840061 -
2020-11-17
Method to clean SnOfilm from chamber
Grant 10840082 -
2020-11-17
Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
Grant 10840087 -
2020-11-17
Surface Modified Depth Controlled Deposition For Plasma Based Deposition
App 20200357636A1 -
2020-11-12
Lower plasma-exclusion-zone rings for a bevel etcher
Grant 10832923 -
2020-11-10
Feedback control system for iterative etch process
Grant 10832979 -
2020-11-10
Substrate support with increasing areal density and corresponding method of fabricating
Grant 10832936 -
2020-11-10

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed