Patent | Date |
---|
Semiconductor device having a contact plug with an air gap spacer Grant 11,456,383 - Liu , et al. September 27, 2 | 2022-09-27 |
DC bias in plasma process Grant 11,404,245 - Pan , et al. August 2, 2 | 2022-08-02 |
Laser-Assisted Epitaxy and Etching for Manufacturing Integrated Circuits App 20220238337 - Yeo; Yee-Chia ;   et al. | 2022-07-28 |
Semiconductor devices with core-shell structures Grant 11,245,033 - Diaz , et al. February 8, 2 | 2022-02-08 |
Method Of Fabricating A Source/drain Recess In A Semiconductor Device App 20210391465 - PENG; Eric ;   et al. | 2021-12-16 |
Semiconductor Device With Profiled Work-function Metal Gate Electrode And Method Of Making App 20210351085 - Lee; Da-Yuan ;   et al. | 2021-11-11 |
Semiconductor device including two-dimensional material layer Grant 11,152,508 - Chui , et al. October 19, 2 | 2021-10-19 |
FinFETs with locally thinned gate structures and having different distances therebetween Grant 11,133,307 - Lin , et al. September 28, 2 | 2021-09-28 |
Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier Grant 11,127,741 - Lin , et al. September 21, 2 | 2021-09-21 |
Chemical Direct Pattern Plating Method App 20210272910 - LIU; Wen-Jiun ;   et al. | 2021-09-02 |
Source/drain recess in a semiconductor device Grant 11,107,921 - Peng , et al. August 31, 2 | 2021-08-31 |
Patterning Interconnects and Other Structures by Photo-Sensitizing Method App 20210265204 - Lo; Wei-Jen ;   et al. | 2021-08-26 |
Melting Laser Anneal of Epitaxy Regions App 20210257255 - Liu; Su-Hao ;   et al. | 2021-08-19 |
Semiconductor device with profiled work-function metal gate electrode and method of making Grant 11,075,124 - Lee , et al. July 27, 2 | 2021-07-27 |
Chemical direct pattern plating method Grant 10,998,269 - Liu , et al. May 4, 2 | 2021-05-04 |
Melting laser anneal of epitaxy regions Grant 10,978,344 - Liu , et al. April 13, 2 | 2021-04-13 |
Finfet Device And Method App 20210066500 - Liu; Su-Hao ;   et al. | 2021-03-04 |
Semiconductor Device and Method App 20210057580 - Chui; Chi On ;   et al. | 2021-02-25 |
Melting Laser Anneal Of Epitaxy Regions App 20210057276 - Liu; Su-Hao ;   et al. | 2021-02-25 |
Semiconductor device and method Grant 10,867,807 - Huang , et al. December 15, 2 | 2020-12-15 |
Controlling profiles of replacement gates Grant 10,868,139 - Lin , et al. December 15, 2 | 2020-12-15 |
Chemical Direct Pattern Plating Method App 20200388575 - Liu; Wen-Jiun ;   et al. | 2020-12-10 |
Lithography mask and method Grant 10,859,902 - Wang , et al. December 8, 2 | 2020-12-08 |
Method to reduce etch variation using ion implantation Grant 10,854,729 - Wang , et al. December 1, 2 | 2020-12-01 |
Semiconductor Device With Profiled Work-function Metal Gate Electrode And Method Of Making App 20200335404 - LEE; Da-Yuan ;   et al. | 2020-10-22 |
Source/drain recess in a semiconductor device Grant 10,784,375 - Peng , et al. Sept | 2020-09-22 |
Fin field effect transistors having conformal oxide layers and methods of forming same Grant 10,756,199 - Chen , et al. A | 2020-08-25 |
Semiconductor device with profiled work-function metal gate electrode and method of making Grant 10,699,966 - Lee , et al. | 2020-06-30 |
Chemical direct pattern plating method Grant 10,692,814 - Liu , et al. | 2020-06-23 |
Controlling profiles of replacement gates Grant 10,658,491 - Lin , et al. | 2020-05-19 |
Source/drain Recess In A Semiconductor Device App 20200098919 - PENG; Eric ;   et al. | 2020-03-26 |
Dry Ashing by Secondary Excitation App 20200098588 - Kuo; Jack Kuo-Ping ;   et al. | 2020-03-26 |
Methods of Manufacturing Transistor Gate Structures by Local Thinning of Dummy Gate Stacks Using an Etch Barrier App 20200075588 - Lin; Chih-Han ;   et al. | 2020-03-05 |
Methods of Manufacturing Transistor Gate Structures by Local Thinning of Dummy Gate Stacks Using an Etch Barrier App 20200075586 - Lin; Chih-Han ;   et al. | 2020-03-05 |
Semiconductor devices with core-shell structures Grant 10,553,718 - Diaz , et al. Fe | 2020-02-04 |
Method To Reduce Etch Variation Using Ion Implantation App 20200020784 - Wang; Tsan-Chun ;   et al. | 2020-01-16 |
Lithography Mask and Method App 20200004134 - Wang; Shiang-Bau ;   et al. | 2020-01-02 |
Controlling Profiles of Replacement Gates App 20200006527 - Lin; Chih-Han ;   et al. | 2020-01-02 |
Methods of manufacturing transistor gate structures by local thinning of dummy gate stacks using an etch barrier Grant 10,515,955 - Lin , et al. Dec | 2019-12-24 |
Systems and methods for integrated resputtering in a physical vapor deposition chamber Grant 10,515,788 - Pan , et al. Dec | 2019-12-24 |
Controlling Profiles of Replacement Gates App 20190386115 - Lin; Chih-Han ;   et al. | 2019-12-19 |
Dry ashing by secondary excitation Grant 10,510,553 - Kuo , et al. Dec | 2019-12-17 |
Dry Ashing by Secondary Excitation App 20190371619 - Kuo; Jack Kuo-Ping ;   et al. | 2019-12-05 |
Methods of Manufacturing Transistor Gate Structures by Local Thinning of Dummy Gate Stacks using an Etch Barrier App 20190371795 - Lin; Chih-Han ;   et al. | 2019-12-05 |
Method to reduce etch variation using ion implantation Grant 10,490,648 - Wang , et al. Nov | 2019-11-26 |
Lithography mask and method Grant 10,481,483 - Wang , et al. Nov | 2019-11-19 |
DC Bias in Plasma Process App 20190267211 - Pan; Sheng-Liang ;   et al. | 2019-08-29 |
Fin Field Effect Transistors having Conformal Oxide Layers and Methods of Forming Same App 20190245057 - Chen; Chia-Cheng ;   et al. | 2019-08-08 |
Fin field effect transistors having conformal oxide layers and methods of forming same Grant 10,269,921 - Chen , et al. | 2019-04-23 |
Semiconductor device having interconnect layer that includes dielectric segments interleaved with metal components Grant 10,262,944 - Lin , et al. | 2019-04-16 |
Semiconductor Device and Method App 20190088499 - Huang; Ming-Jie ;   et al. | 2019-03-21 |
Lithography Mask and Method App 20190004416 - Wang; Shiang-Bau ;   et al. | 2019-01-03 |
Method of forming self-alignment contact Grant 10,163,719 - Liu , et al. Dec | 2018-12-25 |
Semiconductor Devices With Core-shell Structures App 20180350984 - DIAZ; Carlos H. ;   et al. | 2018-12-06 |
Semiconductor device and method Grant 10,134,604 - Huang , et al. November 20, 2 | 2018-11-20 |
Semiconductor Device And Method App 20180315618 - Huang; Ming-Jie ;   et al. | 2018-11-01 |
Source/drain Recess In A Semiconductor Device App 20180315855 - PENG; Eric ;   et al. | 2018-11-01 |
Bottle-neck recess in a semiconductor device Grant 10,020,397 - Peng , et al. July 10, 2 | 2018-07-10 |
Cleaning method for semiconductor device fabrication Grant 10,005,990 - Yeh , et al. June 26, 2 | 2018-06-26 |
Systems and Methods for Integrated Resputtering in a Physical Vapor Deposition Chamber App 20180158658 - Pan; Shing-Chyang ;   et al. | 2018-06-07 |
Fin Field Effect Transistors having Conformal Oxide Layers and Methods of Forming Same App 20180145143 - Chen; Chia-Cheng ;   et al. | 2018-05-24 |
Mechanisms of forming damascene interconnect structures Grant 9,953,868 - Chen , et al. April 24, 2 | 2018-04-24 |
Semiconductor device having a fin shell covering a fin core Grant 9,911,812 - Lin , et al. March 6, 2 | 2018-03-06 |
Systems and methods for integrated resputtering in a physical vapor deposition chamber Grant 9,887,072 - Pan , et al. February 6, 2 | 2018-02-06 |
Semiconductor Device With Profiled Work-function Metal Gate Electrode And Method Of Making App 20170178973 - Lee; Da-Yuan ;   et al. | 2017-06-22 |
Method of Forming Self-Alignment Contact App 20170170292 - Liu; Hsiao-Ping ;   et al. | 2017-06-15 |
Chemical Direct Pattern Plating Method App 20170133324 - LIU; Wen-Jiun ;   et al. | 2017-05-11 |
Dummy FinFET structure and method of making same Grant 9,647,066 - Lu , et al. May 9, 2 | 2017-05-09 |
Semiconductor Device Having Interconnect Layer That Includes Dielectric Segments Interleaved With Metal Components App 20170084485 - Lin; Chun-Chieh ;   et al. | 2017-03-23 |
Method of forming pattern for semiconductor device Grant 9,601,344 - Lee , et al. March 21, 2 | 2017-03-21 |
Etching process Grant 9,601,333 - Yeh , et al. March 21, 2 | 2017-03-21 |
Semiconductor device with metal gate structure comprising work-function metal layer and work-fuction adjustment layer Grant 9,590,065 - Lee , et al. March 7, 2 | 2017-03-07 |
Chemical direct pattern plating interconnect metallization and metal structure produced by the same Grant 9,564,398 - Liu , et al. February 7, 2 | 2017-02-07 |
Semiconductor device having interconnect layer that includes dielectric segments interleaved with metal components Grant 9,520,362 - Lin , et al. December 13, 2 | 2016-12-13 |
Fin field effect transistors having conformal oxide layers and methods of forming same Grant 9,515,188 - Chen , et al. December 6, 2 | 2016-12-06 |
Method for semiconductor device fabrication Grant 9,461,144 - Yeh , et al. October 4, 2 | 2016-10-04 |
Fin Field Effect Transistors Having Conformal Oxide Layers and Methods of Forming Same App 20160181428 - Chen; Chia-Cheng ;   et al. | 2016-06-23 |
Method To Reduce Etch Variation Using Ion Implantation App 20160172466 - Wang; Tsan-Chun ;   et al. | 2016-06-16 |
Silicon nitride etching in a single wafer apparatus Grant 9,355,874 - Yu , et al. May 31, 2 | 2016-05-31 |
Mechanisms Of Forming Damascene Interconnect Structures App 20160133514 - Chen; Chien-An ;   et al. | 2016-05-12 |
Etching Process App 20160099151 - Yeh; Ming-Hsi ;   et al. | 2016-04-07 |
Method to reduce etch variation using ion implantation Grant 9,281,196 - Wang , et al. March 8, 2 | 2016-03-08 |
Fin Structure And Method For Forming The Same App 20160064493 - LIN; CHUN-HSIUNG ;   et al. | 2016-03-03 |
Mechanisms of forming damascene interconnect structures Grant 9,269,612 - Chen , et al. February 23, 2 | 2016-02-23 |
Interconnect structure for semiconductor devices Grant 9,219,036 - Chang , et al. December 22, 2 | 2015-12-22 |
Method for Semiconductor Device Fabrication App 20150364573 - Yeh; Ming-Hsi ;   et al. | 2015-12-17 |
Fin structure and method for forming the same Grant 9,214,513 - Lin , et al. December 15, 2 | 2015-12-15 |
Method of semiconductor integrated circuit fabrication Grant 9,214,383 - Liu , et al. December 15, 2 | 2015-12-15 |
Bottle-neck Recess In A Semiconductor Device App 20150270397 - Peng; Eric ;   et al. | 2015-09-24 |
Semiconductor Devices With Core-shell Structures App 20150263094 - DIAZ; CARLOS H. ;   et al. | 2015-09-17 |
Semiconductor Device Having Interconnect Layer That Includes Dielectric Segments Interleaved With Metal Components App 20150235963 - Lin; Chun-Chieh ;   et al. | 2015-08-20 |
Finlike structures and methods of making same Grant 9,111,884 - Yeh , et al. August 18, 2 | 2015-08-18 |
Fin Structure And Method For Forming The Same App 20150228721 - LIN; CHUN-HSIUNG ;   et al. | 2015-08-13 |
Interconnect Structure for Semiconductor Devices App 20150214159 - Chang; Hui-Lin ;   et al. | 2015-07-30 |
Systems and Methods for Integrated Resputtering in a Physical Vapor Deposition Chamber App 20150206724 - Pan; Shing-Chyang ;   et al. | 2015-07-23 |
Method to Reduce Etch Variation Using Ion Implantation App 20150187927 - Wang; Tsan-Chun ;   et al. | 2015-07-02 |
Method Of Forming Pattern For Semiconductor Device App 20150187591 - Lee; Chia-Ying ;   et al. | 2015-07-02 |
Bottle-neck recess in a semiconductor device Grant 9,054,130 - Peng , et al. June 9, 2 | 2015-06-09 |
Semiconductor Device With Profiled Work-function Metal Gate Electrode And Method Of Making App 20150155365 - Lee; Da-Yuan ;   et al. | 2015-06-04 |
Gap filling method for dual damascene process Grant 9,029,260 - Lin , et al. May 12, 2 | 2015-05-12 |
Interconnect structure for semiconductor devices Grant 8,999,842 - Chang , et al. April 7, 2 | 2015-04-07 |
Method of forming pattern for semiconductor device Grant 8,962,484 - Lee , et al. February 24, 2 | 2015-02-24 |
Finlike Structures and Methods of Making Same App 20150024566 - Yeh; Ming-Hsi ;   et al. | 2015-01-22 |
Interconnect Structure for Semiconductor Devices App 20150017800 - Chang; Hui-Lin ;   et al. | 2015-01-15 |
Chemical dispensing system and method Grant 8,932,962 - Yu , et al. January 13, 2 | 2015-01-13 |
Cleaning Method For Semiconductor Device Fabrication App 20150000704 - Yeh; Ming-Hsi ;   et al. | 2015-01-01 |
Finlike structures and methods of making same Grant 8,889,502 - Yeh , et al. November 18, 2 | 2014-11-18 |
Finlike Structures and Methods of Making Same App 20140302653 - Yeh; Ming-Hsi ;   et al. | 2014-10-09 |
Chemical Direct Pattern Plating Interconnect Metallization And Metal Structure Produced By The Same App 20140264866 - Liu; Wen-Jiun ;   et al. | 2014-09-18 |
Anchored damascene structures Grant 8,822,331 - Lu , et al. September 2, 2 | 2014-09-02 |
Method Of Semiconductor Integrated Circuit Fabrication App 20140203437 - Liu; Wen-Jiun ;   et al. | 2014-07-24 |
Interconnect structure for semiconductor devices Grant 8,785,324 - Chang , et al. July 22, 2 | 2014-07-22 |
Approach for reducing copper line resistivity Grant 8,759,975 - Lee , et al. June 24, 2 | 2014-06-24 |
Method of semiconductor integrated circuit fabrication Grant 8,735,252 - Yu , et al. May 27, 2 | 2014-05-27 |
Method for forming high-K metal gate device Grant 8,609,484 - Tao , et al. December 17, 2 | 2013-12-17 |
Method Of Semiconductor Integrated Circuit Fabrication App 20130330906 - Yu; Weibo ;   et al. | 2013-12-12 |
Dummy FinFET Structure and Method of Making Same App 20130277760 - Lu; Chang-Shen ;   et al. | 2013-10-24 |
Chemical Dispensing System And Method App 20130267099 - YU; Weibo ;   et al. | 2013-10-10 |
Method Of Forming Pattern For Semiconductor Device App 20130157462 - Lee; Chia Ying ;   et al. | 2013-06-20 |
Mechanisms Of Forming Damascene Interconnect Structures App 20130127055 - CHEN; Chien-An ;   et al. | 2013-05-23 |
Interconnect Structure for Semiconductor Devices App 20130102148 - Chang; Hui-Lin ;   et al. | 2013-04-25 |
Silicon Nitride Etching In A Single Wafer Apparatus App 20130078809 - Yu; Weibo ;   et al. | 2013-03-28 |
Anchored damascene structures Grant 8,368,220 - Lu , et al. February 5, 2 | 2013-02-05 |
Gap Filling Method For Dual Damascene Process App 20120319278 - Lin; Chun-Chieh ;   et al. | 2012-12-20 |
Interconnect structure for semiconductor devices Grant 8,330,275 - Chang , et al. December 11, 2 | 2012-12-11 |
Novel Approach for Reducing Copper Line Resistivity App 20120292767 - Lee; Hsien-Ming ;   et al. | 2012-11-22 |
Synergy effect of alloying materials in interconnect structures Grant 8,264,046 - Chang , et al. September 11, 2 | 2012-09-11 |
Method of manufacturing strained-silicon semiconductor device Grant 8,255,843 - Chen , et al. August 28, 2 | 2012-08-28 |
Approach for reducing copper line resistivity Grant 8,242,016 - Lee , et al. August 14, 2 | 2012-08-14 |
Anchored Damascene Structures App 20120142188 - Lu; David ;   et al. | 2012-06-07 |
Interconnect Structure for Semiconductor Devices App 20120049371 - Chang; Hui-Lin ;   et al. | 2012-03-01 |
Interconnect structure for semiconductor devices Grant 8,053,356 - Chang , et al. November 8, 2 | 2011-11-08 |
Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method Grant 8,053,359 - Bao , et al. November 8, 2 | 2011-11-08 |
Selective formation of boron-containing metal cap pre-layer Grant 7,977,791 - Chang , et al. July 12, 2 | 2011-07-12 |
Wet cleaning stripping of etch residue after trench and via opening formation in dual damascene process Grant 7,968,506 - Chou , et al. June 28, 2 | 2011-06-28 |
Method For Forming High-k Metal Gate Device App 20110108928 - Tao; Lee-Wee ;   et al. | 2011-05-12 |
Bottle-neck Recess In A Semiconductor Device App 20110049567 - Peng; Eric ;   et al. | 2011-03-03 |
Interconnect Structure for Semiconductor Devices App 20110027991 - Chang; Hui-Lin ;   et al. | 2011-02-03 |
Method of manufacturing strained-silicon semiconductor device App 20110008951 - Chen; Yun-Hsiu ;   et al. | 2011-01-13 |
Self-aligned dielectric cap Grant 7,863,196 - Chang , et al. January 4, 2 | 2011-01-04 |
Composite Underfill and Semiconductor Package App 20100295173 - Chang; Hui-Lin ;   et al. | 2010-11-25 |
Interconnect structure for semiconductor devices Grant 7,834,458 - Chang , et al. November 16, 2 | 2010-11-16 |
Semiconductor Device Having a Second Level of Metallization Formed over a First Level with Minimal Damage to the First Level and Method App 20100203722 - BAO; Tien-I ;   et al. | 2010-08-12 |
Method for forming a strained channel in a semiconductor device Grant 7,754,571 - Liao , et al. July 13, 2 | 2010-07-13 |
Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method Grant 7,732,326 - Bao , et al. June 8, 2 | 2010-06-08 |
Metal Line Formation Through Silicon/Germanium Soaking App 20100090342 - Chang; Hui-Lin ;   et al. | 2010-04-15 |
Interconnect Structure for Semiconductor Devices App 20100090343 - Chang; Hui-Lin ;   et al. | 2010-04-15 |
Synergy Effect of Alloying Materials in Interconnect Structures App 20100059893 - Chang; Hui-Lin ;   et al. | 2010-03-11 |
Wet Cleaning Stripping Of Etch Residue After Trench And Via Opening Formation In Dual Damascene Process App 20100055897 - Chou; Chun-Li ;   et al. | 2010-03-04 |
Metal interconnect structure and process for forming same Grant 7,670,947 - Wu , et al. March 2, 2 | 2010-03-02 |
Interconnect structures for semiconductor devices Grant 7,655,556 - Chang , et al. February 2, 2 | 2010-02-02 |
Synergy effect of alloying materials in interconnect structures Grant 7,642,189 - Chang , et al. January 5, 2 | 2010-01-05 |
Dual damascene process without an etch stop layer Grant 7,629,690 - Wu , et al. December 8, 2 | 2009-12-08 |
Synergy Effect of Alloying Materials in Interconnect Structures App 20090152722 - Chang; Hui-Lin ;   et al. | 2009-06-18 |
Contact or via hole structure with enlarged bottom critical dimension Grant 7,511,349 - Tsai , et al. March 31, 2 | 2009-03-31 |
Selective Formation of Boron-Containing Metal Cap Pre-layer App 20090014877 - Chang; Hui-Lin ;   et al. | 2009-01-15 |
Contact structure formed using supercritical cleaning fluid and ALCVD Grant 7,462,561 - Lu , et al. December 9, 2 | 2008-12-09 |
Method for improving a semiconductor device delamination resistance Grant 7,456,093 - Chen , et al. November 25, 2 | 2008-11-25 |
Novel approach for reducing copper line resistivity App 20080286965 - Lee; Hsien-Ming ;   et al. | 2008-11-20 |
Self-aligned Dielectric Cap App 20080280449 - Chang; Huilin ;   et al. | 2008-11-13 |
Metal line formation using advaced CMP slurry App 20080265416 - Lee; Shen-Nan ;   et al. | 2008-10-30 |
Recessed channel field effect transistor (FET) device Grant 7,429,769 - Diaz , et al. September 30, 2 | 2008-09-30 |
Interconnect Structures for Semiconductor Devices App 20080233745 - Chang; Hui-Lin ;   et al. | 2008-09-25 |
Damascene structure with high moisture-resistant oxide and method for making the same Grant 7,414,315 - Wu , et al. August 19, 2 | 2008-08-19 |
Polymeric particle slurry system and method to reduce feature sidewall erosion Grant 7,407,601 - Lee , et al. August 5, 2 | 2008-08-05 |
Metal interconnect structure and process for forming same App 20080171442 - Wu; Tsang-Jiuh ;   et al. | 2008-07-17 |
Method for forming a strained channel in a semiconductor device App 20080124875 - Liao; Ken ;   et al. | 2008-05-29 |
Poly Silicon Hard Mask App 20080122107 - Tsai; Jang-Shiang ;   et al. | 2008-05-29 |
CMOS devices with improved gap-filling Grant 7,378,308 - Hsu , et al. May 27, 2 | 2008-05-27 |
Etch stop layer Grant 7,375,040 - Lin , et al. May 20, 2 | 2008-05-20 |
N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications Grant 7,371,629 - Fu , et al. May 13, 2 | 2008-05-13 |
Gradient low k material Grant 7,320,945 - Li , et al. January 22, 2 | 2008-01-22 |
Scratch reduction for chemical mechanical polishing Grant 7,297,632 - Hou , et al. November 20, 2 | 2007-11-20 |
Formation and applications of nitrogen-free silicon carbide in semiconductor manufacturing App 20070264843 - Li; Lih-Ping ;   et al. | 2007-11-15 |
Cleaning porous low-k material in the formation of an interconnect structure App 20070254476 - Chou; Chun-Li ;   et al. | 2007-11-01 |
CMOS devices with improved gap-filling App 20070235823 - Hsu; Ju-Wang ;   et al. | 2007-10-11 |
Interconnect with composite layers and method for fabricating the same Grant 7,265,447 - Yu , et al. September 4, 2 | 2007-09-04 |
Dual damascene process without an etch stop layer App 20070200241 - Wu; Tsang-Jiuh ;   et al. | 2007-08-30 |
Copper interconnects Grant 7,253,524 - Wu , et al. August 7, 2 | 2007-08-07 |
Semiconductor devices with composite etch stop layers and methods of fabrication thereof Grant 7,250,364 - Lu , et al. July 31, 2 | 2007-07-31 |
Stable metal structure with tungsten plug Grant 7,224,068 - Tseng , et al. May 29, 2 | 2007-05-29 |
Multi-level semiconductor device with capping layer for improved adhesion Grant 7,223,692 - Lin , et al. May 29, 2 | 2007-05-29 |
Method of manufacturing strained-silicon semiconductor device App 20070111404 - Chen; Yun-Hsiu ;   et al. | 2007-05-17 |
Damascene structure with high moisture-resistant oxide and method for making the same App 20070096264 - Wu; Tsang-Jiuh ;   et al. | 2007-05-03 |
Anchored damascene structures App 20070085209 - Lu; David ;   et al. | 2007-04-19 |
Interconnect structure with dielectric barrier and fabrication method thereof Grant 7,196,423 - Wu , et al. March 27, 2 | 2007-03-27 |
Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects Grant 7,193,325 - Wu , et al. March 20, 2 | 2007-03-20 |
Damascene method employing composite etch stop layer Grant 7,187,084 - Jang , et al. March 6, 2 | 2007-03-06 |
Contact or via hole structure with enlarged bottom critical dimension App 20070040188 - Tsai; Ming-Huan ;   et al. | 2007-02-22 |
Method of preventing photoresist poisoning of a low-dielectric-constant insulator Grant 7,172,964 - Ko , et al. February 6, 2 | 2007-02-06 |
Method for achieving uniform CU CMP polishing Grant 7,153,197 - Shih , et al. December 26, 2 | 2006-12-26 |
Method of a non-metal barrier copper damascene integration Grant 7,151,315 - Wu , et al. December 19, 2 | 2006-12-19 |
Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method App 20060278870 - Bao; Tien-I ;   et al. | 2006-12-14 |
Metal barrier integrity via use of a novel two step PVD-ALD deposition procedure Grant 7,135,408 - Wu , et al. November 14, 2 | 2006-11-14 |
Method of making transistor with strained source/drain Grant 7,118,952 - Chen , et al. October 10, 2 | 2006-10-10 |
Method of achieving improved STI gap fill with reduced stress Grant 7,118,987 - Fu , et al. October 10, 2 | 2006-10-10 |
BEOL integration scheme for etching damage free ELK App 20060216924 - Wu; Zhen-Cheng ;   et al. | 2006-09-28 |
Method of enhancing adhesion between dielectric layers App 20060211240 - Chi; Chung ;   et al. | 2006-09-21 |
Scratch reduction for chemical mechanical polishing App 20060211250 - Hou; Chuang-Ping ;   et al. | 2006-09-21 |
Scum solution for chemically amplified resist patterning in cu/low k dual damascene Grant 7,109,119 - Bao , et al. September 19, 2 | 2006-09-19 |
Method for chemical mechanical polishing of a shallow trench isolation structure Grant 7,109,117 - Tseng , et al. September 19, 2 | 2006-09-19 |
Hard masking method for forming patterned oxygen containing plasma etchable layer Grant RE39,273 - Jang , et al. September 12, 2 | 2006-09-12 |
Contact structure formed using supercritical cleaning fluid and ALCVD App 20060166495 - Lu; David ;   et al. | 2006-07-27 |
Method of forming copper interconnects Grant 7,056,826 - Wu , et al. June 6, 2 | 2006-06-06 |
Method of eliminating galvanic corrosion in copper CMP App 20060112971 - Kuo; Han-Hsin ;   et al. | 2006-06-01 |
Method for decreasing a dielectric constant of a low-k film App 20060115980 - Ko; Chung-Chi ;   et al. | 2006-06-01 |
Semiconductor devices with composite etch stop layers and methods of fabrication thereof App 20060110912 - Lu; Yung-Cheng ;   et al. | 2006-05-25 |
Etch stop layer App 20060110938 - Lin; Simon S.H. ;   et al. | 2006-05-25 |
Composite etching stop in semiconductor process integration Grant 7,042,049 - Li , et al. May 9, 2 | 2006-05-09 |
Method for preventing formation of photoresist scum Grant 7,015,136 - Bao , et al. March 21, 2 | 2006-03-21 |
HV-MOS and mixed-signal circuit structure with low-k interconnection App 20060055065 - Liu; Chi-Wen ;   et al. | 2006-03-16 |
Plasma treatment to improve barrier layer performance over porous low-K insulating dielectrics App 20060051947 - Lin; Simon ;   et al. | 2006-03-09 |
Method for forming openings in low-k dielectric layers Grant 7,001,833 - Bao , et al. February 21, 2 | 2006-02-21 |
Test region layout for shallow trench isolation Grant 7,002,177 - Chang , et al. February 21, 2 | 2006-02-21 |
Method for fabricating a recessed channel field effect transistor (FET) device App 20060033158 - Diaz; Carlos H. ;   et al. | 2006-02-16 |
Interconnect with composite barrier layers and method for fabricating the same App 20060027932 - Yu; Chen-Hua ;   et al. | 2006-02-09 |
Metallization layers for crack prevention and reduced capacitance App 20060027924 - Chen; Pi-Tsung ;   et al. | 2006-02-09 |
Copper damascene barrier and capping layer App 20060024954 - Wu; Zhen-Cheng ;   et al. | 2006-02-02 |
Method of making transistor with strained source/drain App 20060014354 - Chen; Yun-Hsiu ;   et al. | 2006-01-19 |
Method for improving a semiconductor device delamination resistance App 20060003572 - Chen; Pi-Tsung ;   et al. | 2006-01-05 |
Gradient low k material App 20060003598 - Li; Lih-Ping ;   et al. | 2006-01-05 |
Method of preventing photoresist poisoning of a low-dielectric-constant insulator App 20050282382 - Ko, Chung Chi ;   et al. | 2005-12-22 |
Method for fabricating a recessed channel field effect transistor (FET) device Grant 6,974,730 - Diaz , et al. December 13, 2 | 2005-12-13 |
Method for forming dielectric barrier layer in damascene structure Grant 6,972,253 - Liu , et al. December 6, 2 | 2005-12-06 |
Dual damascene patterned conductor layer formation method without etch stop layer Grant RE38,914 - Jang , et al. December 6, 2 | 2005-12-06 |
Integrated circuit with strained and non-strained transistors, and method of forming thereof App 20050266632 - Chen, Yun-Hsiu ;   et al. | 2005-12-01 |
SiOCH low k surface protection layer formation by CxHy gas plasma treatment Grant 6,962,869 - Bao , et al. November 8, 2 | 2005-11-08 |
Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects App 20050245100 - Wu, Zhen-Cheng ;   et al. | 2005-11-03 |
Multi-level semiconductor device with capping layer with improved adhesion App 20050242430 - Lin, Keng-Chu ;   et al. | 2005-11-03 |
Interconnect with composite barrier layers and method for fabricating the same Grant 6,958,291 - Yu , et al. October 25, 2 | 2005-10-25 |
Stable metal structure with tungsten plug App 20050224986 - Tseng, Horng-Huei ;   et al. | 2005-10-13 |
Interconnect structure with dielectric barrier and fabrication method thereof App 20050212135 - Wu, Zhen-Cheng ;   et al. | 2005-09-29 |
Chemical mechanical polishing process with reduced defects in a copper process Grant 6,946,397 - Hong , et al. September 20, 2 | 2005-09-20 |
Logic embedded-memory integrated circuits App 20050189598 - Jang, Syun-Ming ;   et al. | 2005-09-01 |
Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method App 20050184288 - Bao, Tien-I ;   et al. | 2005-08-25 |
Method of forming a contact on a silicon-on-insulator wafer Grant 6,930,040 - Hou , et al. August 16, 2 | 2005-08-16 |
Method of achieving improved STI gap fill with reduced stress App 20050170606 - Fu, Chu-Yun ;   et al. | 2005-08-04 |
Edge peeling improvement of low-k dielectric materials stack by adjusting EBR resistance Grant 6,924,238 - Chou , et al. August 2, 2 | 2005-08-02 |
SiOC properties and its uniformity in bulk for damascene applications Grant 6,924,242 - Jang , et al. August 2, 2 | 2005-08-02 |
Method to reduce dishing and erosion in a CMP process Grant 6,919,276 - Lee , et al. July 19, 2 | 2005-07-19 |
Method for chemical mechanical polishing of a shallow trench isolation structure App 20050153555 - Tseng, Tung-Ching ;   et al. | 2005-07-14 |
Heterogeneous low k dielectric App 20050140029 - Li, Lih-Ping ;   et al. | 2005-06-30 |
SiOC properties and its uniformity in bulk for damascene applications App 20050133931 - Jang, Syun-Ming ;   et al. | 2005-06-23 |
Method for fabricating a recessed channel filed effect transistor (FET) device App 20050133830 - Diaz, Carlos H. ;   et al. | 2005-06-23 |
ATR-FTIR metal surface cleanliness monitoring Grant 6,908,773 - Li , et al. June 21, 2 | 2005-06-21 |
Method for forming openings in low-k dielectric layers App 20050130411 - Bao, Tien-J ;   et al. | 2005-06-16 |
Copper interconnects App 20050110153 - Wu, Zhen-Cheng ;   et al. | 2005-05-26 |
Copper CMP defect reduction by extra slurry polish App 20050106872 - Hong, William ;   et al. | 2005-05-19 |
Method to measure oxide thickness by FTIR to improve an in-line CMP endpoint determination Grant 6,895,360 - Liu , et al. May 17, 2 | 2005-05-17 |
Test region layout for shallow trench isolation App 20050095727 - Chang, Weng ;   et al. | 2005-05-05 |
Sioc Properties And Its Uniformity In Bulk For Damascene Applications App 20050090122 - Jang, Syun-Ming ;   et al. | 2005-04-28 |
Method of forming a contact on a silicon-on-insulator wafer App 20050090096 - Hou, Chuan-Ping ;   et al. | 2005-04-28 |
Method and system for in-situ monitoring of mixing ratio of high selectivity slurry Grant 6,884,149 - Tsai , et al. April 26, 2 | 2005-04-26 |
Thin interface layer to improve copper etch stop Grant 6,884,659 - Chen , et al. April 26, 2 | 2005-04-26 |
Method of fabricating barrierless and embedded copper damascene interconnects Grant 6,878,621 - Wu , et al. April 12, 2 | 2005-04-12 |
Method for improving time dependent dielectric breakdown lifetimes App 20050062164 - Lin, Keng-Chu ;   et al. | 2005-03-24 |
Shallow trench isolation planarized by wet etchback and chemical mechanical polishing Grant 6,869,858 - Jang , et al. March 22, 2 | 2005-03-22 |
Via bottom copper/barrier interface improvement to resolve via electromigration and stress migration Grant 6,867,135 - Bao , et al. March 15, 2 | 2005-03-15 |
Embedded fastener apparatus and method for preventing particle contamination App 20050050708 - Huang, Yu-Lien ;   et al. | 2005-03-10 |
Method for forming dielectric barrier layer in damascene structure App 20050051900 - Liu, Ai-Sen ;   et al. | 2005-03-10 |
Interconnect with composite barrier layers and method for fabricating the same App 20050054191 - Yu, Chen-Hua ;   et al. | 2005-03-10 |
Method for ultra low-K dielectric deposition App 20050048795 - Ko, Chung-Chi ;   et al. | 2005-03-03 |
Method for forming dummy structures for improved CMP and reduced capacitance Grant 6,849,549 - Chiou , et al. February 1, 2 | 2005-02-01 |
Semiconductor device and method for preventing damage to anti-reflective structure during removing an overlying photoresist layer App 20050009373 - Bao, Tien-I ;   et al. | 2005-01-13 |
Method for preventing formation of photoresist scum App 20050006340 - Bao, Tien-I ;   et al. | 2005-01-13 |
Method for improving uniformity in deposited low k dielectric material App 20040266216 - Li, Lih-Ping ;   et al. | 2004-12-30 |
Method of a non-metal barrier copper damascene integration App 20040251547 - Wu, Zhen-Cheng ;   et al. | 2004-12-16 |
Edge peeling improvement of low-k dielectric materials stack by adjusting EBR resistance App 20040248426 - Chou, Tzu-Jen ;   et al. | 2004-12-09 |
Polymeric particle slurry system and method for improved planarity App 20040226918 - Lee, Shen-Nan ;   et al. | 2004-11-18 |
Planarization of copper damascene using reverse current electroplating and chemical mechanical polishing Grant 6,815,336 - Shue , et al. November 9, 2 | 2004-11-09 |
Method to improve breakdown voltage by H2 plasma treat App 20040219795 - Li, Lih-Ping ;   et al. | 2004-11-04 |
Method for improving semiconductor process wafer CMP uniformity while avoiding fracture Grant 6,812,069 - Tseng , et al. November 2, 2 | 2004-11-02 |
Method for forming a carbon doped oxide low-k insulating layer Grant 6,812,043 - Bao , et al. November 2, 2 | 2004-11-02 |
Method to reduce dishing and erosion in a CMP process App 20040214442 - Lee, Shen-Nan ;   et al. | 2004-10-28 |
Method to eliminate copper hillocks and to reduce copper stress Grant 6,806,184 - Chen , et al. October 19, 2 | 2004-10-19 |
Method and system for in-situ monitoring of mixing ratio of high selectivity slurry App 20040203322 - Tsai, Shang-Ting ;   et al. | 2004-10-14 |
Composite etching stop in semiconductor process integration App 20040191977 - Li, Lain-Jong ;   et al. | 2004-09-30 |
Interface improvement by electron beam process App 20040152239 - Bao, Tien-I ;   et al. | 2004-08-05 |
Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer Grant 6,770,570 - Li , et al. August 3, 2 | 2004-08-03 |
Damascene method employing composite etch stop layer App 20040147100 - Jang, Syun-Ming ;   et al. | 2004-07-29 |
Novel method of fabricating barrierless and embedded copper damascene interconnects App 20040142561 - Wu, Zhen-Cheng ;   et al. | 2004-07-22 |
Method to reduce dishing, erosion and low-k dielectric peeling for copper in low-k dielectric CMP process App 20040137740 - Chung, Chi-Wei ;   et al. | 2004-07-15 |
Method of forming copper interconnects App 20040130035 - Wu, Zhen-Cheng ;   et al. | 2004-07-08 |
Etch stop layer App 20040124420 - Lin, Simon S.H. ;   et al. | 2004-07-01 |
Method for forming capping barrier layer over copper feature App 20040121583 - Bao, Tien-I ;   et al. | 2004-06-24 |
Method for low k dielectric deposition Grant 6,753,269 - Li , et al. June 22, 2 | 2004-06-22 |
Structure for improving interlevel conductor connections Grant 6,753,607 - Wu , et al. June 22, 2 | 2004-06-22 |
Method of improving the bondability between Au wires and Cu bonding pads Grant 6,753,259 - Jang , et al. June 22, 2 | 2004-06-22 |
Composite etching stop in semiconductor process integration Grant 6,753,260 - Li , et al. June 22, 2 | 2004-06-22 |
Method for improving semiconductor process wafer CMP uniformity while avoiding fracture App 20040115925 - Tseng, Tung-Ching ;   et al. | 2004-06-17 |
Method to measure oxide thickness by FTIR to improve an in-line CMP endpoint determination App 20040117146 - Liu, Ai-Sen ;   et al. | 2004-06-17 |
N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications App 20040110392 - Fu, Chu-Yun ;   et al. | 2004-06-10 |
Laminating method for forming integrated circuit microelectronic fabrication Grant 6,740,567 - Liang , et al. May 25, 2 | 2004-05-25 |
Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer App 20040097099 - Li, Lih-Ping ;   et al. | 2004-05-20 |
Eliminate broken line damage of copper after CMP Grant 6,736,701 - Shue , et al. May 18, 2 | 2004-05-18 |
Method to eliminate copper hillocks and to reduce copper stress App 20040092100 - Cheng, Ying-Ho ;   et al. | 2004-05-13 |
Solution to the problem of copper hillocks Grant 6,734,101 - Bao , et al. May 11, 2 | 2004-05-11 |
Damascene method employing composite etch stop layer Grant 6,734,110 - Jang , et al. May 11, 2 | 2004-05-11 |
Microelectronic fabrication having sidewall passivated microelectronic capacitor structure fabricated therein Grant 6,734,079 - Huang , et al. May 11, 2 | 2004-05-11 |
Scum solution for chemically amplified resist patterning in cu/low k dual damascene App 20040087164 - Bao, Tien-I ;   et al. | 2004-05-06 |
Metal barrier integrity via use of a novel two step PVD-ALD deposition procedure App 20040087136 - Wu, Zhen-Cheng ;   et al. | 2004-05-06 |
Method and system for in-situ monitoring of mixing ratio of high selectivity slurry Grant 6,729,935 - Tsai , et al. May 4, 2 | 2004-05-04 |
Process to reduce chemical mechanical polishing damage of narrow copper lines Grant 6,727,172 - Jong , et al. April 27, 2 | 2004-04-27 |
Method Of Avoiding Dielectric Arcing App 20040072405 - Yao, Chih-Hsiang ;   et al. | 2004-04-15 |
Method for forming openings in low-k dielectric layers App 20040063308 - Bao, Tien-I ;   et al. | 2004-04-01 |
Formation of dual gate oxide by two-step wet oxidation Grant 6,706,577 - Twu , et al. March 16, 2 | 2004-03-16 |
Thin interface layer to improve copper etch stop App 20040038550 - Chen, Bi-Trong ;   et al. | 2004-02-26 |
Method of forming an STI feature while avoiding or reducing divot formation Grant 6,689,665 - Jang , et al. February 10, 2 | 2004-02-10 |
Chemical mechanical polisher equipped with chilled retaining ring and method of using Grant 6,686,284 - Chung , et al. February 3, 2 | 2004-02-03 |
Method For Forming A Hydrophilic Surface On Low-k Dielectric Insulating Layers For Improved Adhesion App 20040018748 - Lu, Hsin-Hsien ;   et al. | 2004-01-29 |
Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion Grant 6,677,251 - Lu , et al. January 13, 2 | 2004-01-13 |
Method and apparatus for chemical/mechanical planarization (CMP) of a semiconductor substrate having shallow trench isolation Grant 6,672,941 - Yu , et al. January 6, 2 | 2004-01-06 |
Method and system for in-situ monitoring of mixing ratio of high selectivity slurry App 20030232575 - Tsai, Shang-Ting ;   et al. | 2003-12-18 |
Microelectronic fabrication having sidewall passivated microelectronic capacitor structure fabricated therein App 20030232481 - Huang, Chi-Feng ;   et al. | 2003-12-18 |
Graded dielectric layer and method for fabrication thereof Grant 6,657,284 - Li , et al. December 2, 2 | 2003-12-02 |
System for detecting surface defects in semiconductor wafers Grant 6,654,109 - Li , et al. November 25, 2 | 2003-11-25 |
Sandwich composite dielectric layer yielding improved integrated circuit device reliability App 20030214044 - Jang, Syun-Ming ;   et al. | 2003-11-20 |
Method for achieving uniform CU CMP polishing App 20030211814 - Shih, Tsu ;   et al. | 2003-11-13 |
Shallow trench isolation process for reduced junction leakage App 20030207530 - Yu, Chen-Hua ;   et al. | 2003-11-06 |
Method forming copper containing semiconductor features to prevent thermally induced defects App 20030207558 - Bao, Tien-I ;   et al. | 2003-11-06 |
Use of low-high slurry flow to eliminate copper line damages App 20030207582 - Twu, Jih-Churng ;   et al. | 2003-11-06 |
Method for forming a carbon doped oxide low-k insulating layer App 20030203652 - Bao, Tien-I ;   et al. | 2003-10-30 |
Bimodal Slurry System App 20030200702 - Lee, Shen-Nan ;   et al. | 2003-10-30 |
Bimodal slurry system Grant 6,638,328 - Lee , et al. October 28, 2 | 2003-10-28 |
Method and apparatus for preventing metal corrosion during chemical mechanical polishing Grant 6,634,930 - Chen , et al. October 21, 2 | 2003-10-21 |
Reinforced polishing pad for linear chemical mechanical polishing and method for forming Grant 6,635,211 - Chiou , et al. October 21, 2 | 2003-10-21 |
Shallow trench isolation planarized by wet etchback and chemical mechanical polishing App 20030194848 - Jang, Syun-Ming ;   et al. | 2003-10-16 |
ATR-FTIR metal surface cleanliness monitoring App 20030179368 - Li, Lain-Jong ;   et al. | 2003-09-25 |
Thin interface layer to improve copper etch stop Grant 6,623,654 - Chen , et al. September 23, 2 | 2003-09-23 |
Reduction of Cu line damage by two-step CMP Grant 6,620,725 - Shue , et al. September 16, 2 | 2003-09-16 |
Way to remove Cu line damage after Cu CMP Grant 6,620,034 - Shih , et al. September 16, 2 | 2003-09-16 |
System for detecting surface defects in semiconductor wafers App 20030147069 - Li, Lain-Jong ;   et al. | 2003-08-07 |
Chemical mechanical polisher equipped with chilled retaining ring and method of using App 20030148615 - Chung, Chi-Wei ;   et al. | 2003-08-07 |
Sandwich composite dielectric layer yielding improved integrated circuit device reliability Grant 6,599,847 - Jang , et al. July 29, 2 | 2003-07-29 |
Thin interface layer to improve copper etch stop App 20030089678 - Chen, Bi-Trong ;   et al. | 2003-05-15 |
Process for polishing the top surface of a polysilicon gate Grant 6,559,040 - Yu , et al. May 6, 2 | 2003-05-06 |
Nitrogen containing plasma annealing method for forming a nitrogenated silicon carbide layer App 20030045125 - Bao, Tien-I ;   et al. | 2003-03-06 |
Method for making FET gate oxides with different thicknesses using a thin silicon nitride layer and a single oxidation step Grant 6,511,887 - Yu , et al. January 28, 2 | 2003-01-28 |
Reinforced polishing pad for linear chemical mechanical polishing and method for forming App 20030013398 - Chiou, Wen-Chih ;   et al. | 2003-01-16 |
Delamination resistant multi-layer composite dielectric layer employing low dielectric constant dielectric material Grant 6,503,818 - Jang January 7, 2 | 2003-01-07 |
Laminating method for forming integrated circuit microelectronic fabrication App 20020197775 - Liang, Mong-Song ;   et al. | 2002-12-26 |
In-situ pad and wafer cleaning during chemical mechanical polishing App 20020187731 - Chen, Ying-Ho ;   et al. | 2002-12-12 |
Dual damascene method employing composite low dielectric constant dielectric layer having intrinsic etch stop characteristics App 20020173157 - Chang, Weng ;   et al. | 2002-11-21 |
Interlevel dielectric composite layer for insulation of polysilicon and metal structures Grant 6,479,385 - Jang , et al. November 12, 2 | 2002-11-12 |
Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer Grant 6,455,417 - Bao , et al. September 24, 2 | 2002-09-24 |
Elimination of electrochemical deposition copper line damage for damascene processing Grant 6,429,118 - Chen , et al. August 6, 2 | 2002-08-06 |
Low dielectric constant microelectronic conductor structure with enhanced adhesion and attenuated electrical leakage Grant 6,424,038 - Bao , et al. July 23, 2 | 2002-07-23 |
Method of improving the bondability between Au wires and Cu bonding pads Grant 6,423,625 - Jang , et al. July 23, 2 | 2002-07-23 |
Method for adjusting optical properties of an anti-reflective coating layer App 20020094593 - Chiou, Wen-Chih ;   et al. | 2002-07-18 |
Solution to black diamond film delamination problem App 20020094698 - Li, Lain-Jong ;   et al. | 2002-07-18 |
Underlayer liner for copper damascene in low k dielectric Grant 6,417,106 - Twu , et al. July 9, 2 | 2002-07-09 |
Method of improving the bondability between Au wires and Cu bonding pads App 20020086533 - Jang, Syun-Ming ;   et al. | 2002-07-04 |
Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties Grant 6,407,013 - Li , et al. June 18, 2 | 2002-06-18 |
Novel way to remove Cu line damage after Cu CMP App 20020064971 - Shih, Tsu ;   et al. | 2002-05-30 |
Two-stage Cu anneal to improve Cu damascene process Grant 6,391,777 - Chen , et al. May 21, 2 | 2002-05-21 |
Multi-step chemical mechanical polish (CMP) planarizing method for forming patterned planarized aperture fill layer Grant 6,391,792 - Jang , et al. May 21, 2 | 2002-05-21 |
Fabrication of MIM capacitor in copper damascene process Grant 6,387,775 - Jang , et al. May 14, 2 | 2002-05-14 |
Crack resistant multi-layer dielectric layer and method for formation thereof Grant 6,372,664 - Jang , et al. April 16, 2 | 2002-04-16 |
Integrated high density plasma chemical vapor deposition (HDP-CVD) method and chemical mechanical polish (CMP) planarizing method for forming patterned planarized aperture fill layers Grant 6,365,523 - Jang , et al. April 2, 2 | 2002-04-02 |
Dual damascene method employing sacrificial via fill layer Grant 6,362,093 - Jang , et al. March 26, 2 | 2002-03-26 |
Way to remove CU line damage after CU CMP Grant 6,358,119 - Shih , et al. March 19, 2 | 2002-03-19 |
Solution to black diamond film delamination problem Grant 6,358,839 - Li , et al. March 19, 2 | 2002-03-19 |
Method for improvement of planarity of electroplated copper Grant 6,350,364 - Jang February 26, 2 | 2002-02-26 |
Reducing CMP scratch, dishing and erosion by post CMP etch back method for low-k materials Grant 6,350,694 - Chang , et al. February 26, 2 | 2002-02-26 |
Method to reduce via poison in low-k Cu dual damascene by UV-treatment Grant 6,319,809 - Chang , et al. November 20, 2 | 2001-11-20 |
Using high temperature H2 anneal to recrystallize S/D and remove native oxide simultaneously Grant 6,319,784 - Yu , et al. November 20, 2 | 2001-11-20 |
High selectivity Si-rich SiON etch-stop layer Grant 6,316,348 - Fu , et al. November 13, 2 | 2001-11-13 |
High selectivity Si-rich SiON etch-stop layer App 20010034121 - Fu, Chu Yun ;   et al. | 2001-10-25 |
Method to reduce the damages of copper lines App 20010027010 - Jang, Syun-Ming ;   et al. | 2001-10-04 |
Method to reduce silicon oxynitride etch rate in a silicon oxide dry etch Grant 6,297,162 - Jang , et al. October 2, 2 | 2001-10-02 |
Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity App 20010021595 - Jang, Syun-Ming | 2001-09-13 |
Chemical mechanical polishing of polysilicon plug using a silicon nitride stop layer App 20010019887 - Jang, Syun-Ming ;   et al. | 2001-09-06 |
Use of PE-SiON or PE-Oxide for contact or via photo and for defect reduction with oxide and w chemical-mechanical polish App 20010016414 - Yu, Chen-Hua ;   et al. | 2001-08-23 |
Method to improve metal line adhesion by trench corner shape modification Grant 6,274,483 - Chang , et al. August 14, 2 | 2001-08-14 |
Chemical-mechanical polish method using an undoped silicon glass stop layer for polishing BPSG Grant 6,271,123 - Jang , et al. August 7, 2 | 2001-08-07 |
Method of protecting a low-K dielectric material Grant 6,268,294 - Jang , et al. July 31, 2 | 2001-07-31 |
Dual damascene method employing spin-on polymer (SOP) etch stop layer Grant 6,265,319 - Jang July 24, 2 | 2001-07-24 |
Method for forming low dielectric constant spin-on-polymer (SOP) dielectric layer Grant 6,255,232 - Chang , et al. July 3, 2 | 2001-07-03 |
Composite planarizing dielectric layer employing high density plasma chemical vapor deposited (HDP-CVD) underlayer Grant 6,255,207 - Jang July 3, 2 | 2001-07-03 |
Method of CMP of polysilicon App 20010001082 - Chang, Chung-Long ;   et al. | 2001-05-10 |