loadpatents
name:-0.11104917526245
name:-0.092332124710083
name:-0.0091180801391602
Hsieh; Hung-Chang Patent Filings

Hsieh; Hung-Chang

Patent Applications and Registrations

Patent applications and USPTO patent grants for Hsieh; Hung-Chang.The latest application filed is for "method of semiconductor integrated circuit fabrication".

Company Profile
8.92.97
  • Hsieh; Hung-Chang - Hsin-Chu TW
  • Hsieh; Hung-Chang - Hsin-Chu City TW
  • Hsieh; Hung-Chang - Hsinchu TW
  • Hsieh; Hung-Chang - Hsinchu City TW
  • HSIEH; Hung-Chang - Taoyuan County TW
  • HSIEH; Hung-Chang - Taoyuan-Hsien TW
  • Hsieh; Hung-Chang - Taoyuan TW
  • Hsieh; Hung-Chang - Shin-Tsu TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Lithography system with an embedded cleaning module
Grant 11,378,894 - Chien , et al. July 5, 2
2022-07-05
Method of making a FinFET device
Grant 11,081,394 - Shieh , et al. August 3, 2
2021-08-03
Method of Semiconductor Integrated Circuit Fabrication
App 20200286782 - Shieh; Ming-Feng ;   et al.
2020-09-10
Method of semiconductor integrated circuit fabrication
Grant 10,672,656 - Shieh , et al.
2020-06-02
Lithography System With An Embedded Cleaning Module
App 20200064747 - Chien; Shang-Chieh ;   et al.
2020-02-27
Method of forming source/drain contact
Grant 10,522,413 - Kuo , et al. Dec
2019-12-31
Lithography system with an embedded cleaning module
Grant 10,459,353 - Chien , et al. Oc
2019-10-29
Method of Forming Source/Drain Contact
App 20190115262 - Kuo; Ming-Jhih ;   et al.
2019-04-18
Method of Making a FinFET Device
App 20190051564 - Shieh; Ming-Feng ;   et al.
2019-02-14
Method of forming source/drain contact
Grant 10,163,720 - Kuo , et al. Dec
2018-12-25
Lithography method with surface modification layer
Grant 10,101,659 - Chen , et al. October 16, 2
2018-10-16
Method of making a FinFET device
Grant 10,096,519 - Shieh , et al. October 9, 2
2018-10-09
System and method for lithography alignment
Grant 9,996,011 - Lin , et al. June 12, 2
2018-06-12
Method of making a FinFET device
Grant 9,929,153 - Shieh , et al. March 27, 2
2018-03-27
Method of Forming Source/Drain Contact
App 20180061715 - Kuo; Ming-Jhih ;   et al.
2018-03-01
Integrated circuit structure and method forming trenches with different depths
Grant 9,905,471 - Lo , et al. February 27, 2
2018-02-27
Lithography Method with Surface Modification Layer
App 20180047561 - Chen; Shu-Fang ;   et al.
2018-02-15
Method of forming a photoresist layer
Grant 9,875,892 - Chang , et al. January 23, 2
2018-01-23
Lithography alignment marks
Grant 9,823,574 - Chen , et al. November 21, 2
2017-11-21
Integrated Circuit Structure And Method Forming Trenches With Different Depths
App 20170316983 - Lo; Yuan-Yen ;   et al.
2017-11-02
Method of lithography process with inserting scattering bars
Grant 9,805,154 - Ho , et al. October 31, 2
2017-10-31
Method of forming source/drain contact
Grant 9,799,567 - Kuo , et al. October 24, 2
2017-10-24
Lithography process on high topology features
Grant 9,791,775 - Chang , et al. October 17, 2
2017-10-17
Semiconductor method with wafer edge modification
Grant 9,711,367 - Chien , et al. July 18, 2
2017-07-18
Two-dimensional process window improvement
Grant 9,703,918 - Ho , et al. July 11, 2
2017-07-11
Film portion at wafer edge
Grant 9,651,869 - Chang , et al. May 16, 2
2017-05-16
Lithography Alignment Marks
App 20170090299 - Chen; Ching-Huang ;   et al.
2017-03-30
Method Of Making A Finfet Device
App 20160379889 - Shieh; Ming-Feng ;   et al.
2016-12-29
Method of Lithography Process with Inserting Scattering Bars
App 20160335385 - Ho; Irene ;   et al.
2016-11-17
Two-dimensional Process Window Improvement
App 20160274455 - Wei-De; Ho ;   et al.
2016-09-22
Method of making a FinFET device
Grant 9,443,768 - Shieh , et al. September 13, 2
2016-09-13
Method of making a FinFET device
Grant 9,437,497 - Shieh , et al. September 6, 2
2016-09-06
System And Method For Lithography Alignment
App 20160216613 - Lin; Yu-Hsien ;   et al.
2016-07-28
Lithography Process on High Topology Features
App 20160195807 - Chang; Chun-Wei ;   et al.
2016-07-07
Film portion at wafer edge
Grant 9,372,406 - Chang , et al. June 21, 2
2016-06-21
Thickening phase for spin coating process
Grant 9,360,755 - Chang , et al. June 7, 2
2016-06-07
Test structure placement on a semiconductor wafer
Grant 9,349,662 - Wu , et al. May 24, 2
2016-05-24
Method of Forming Source/Drain Contact
App 20160118303 - Kuo; Ming-Jhih ;   et al.
2016-04-28
System and method for lithography alignment
Grant 9,304,403 - Lin , et al. April 5, 2
2016-04-05
Lithography process on high topology features
Grant 9,285,677 - Chang , et al. March 15, 2
2016-03-15
Clip For Clamping Electronic Component
App 20160073549 - LIU; Hsi-An ;   et al.
2016-03-10
Cross quadrupole double lithography method using two complementary apertures
Grant 9,280,041 - Wang , et al. March 8, 2
2016-03-08
Method of Semiconductor Integrated Circuit Fabrication
App 20160027692 - Shieh; Ming-Feng ;   et al.
2016-01-28
Method and apparatus for drying a wafer
Grant 9,176,387 - Huang , et al. November 3, 2
2015-11-03
Method of overlay prediction
Grant 9,158,209 - Chen , et al. October 13, 2
2015-10-13
Method and system for repairing wafer defects
Grant 9,158,884 - Chang , et al. October 13, 2
2015-10-13
Method of semiconductor integrated circuit fabrication
Grant 9,153,483 - Shieh , et al. October 6, 2
2015-10-06
Method of Making a FinFET Device
App 20150249039 - Shieh; Ming-Feng ;   et al.
2015-09-03
Method Of Forming A Photoresist Layer
App 20150243500 - Chang; Chun-Wei ;   et al.
2015-08-27
Film Portion At Wafer Edge
App 20150212420 - CHANG; Chun-Wei ;   et al.
2015-07-30
Method of patterning a semiconductor device having improved spacing and shape control and a semiconductor device
Grant 9,070,688 - Chen , et al. June 30, 2
2015-06-30
Method Of Making a FinFET Device
App 20150147867 - Shieh; Ming-Feng ;   et al.
2015-05-28
Method of making a FinFET device
Grant 9,034,723 - Shieh , et al. May 19, 2
2015-05-19
Method of forming a photoresist layer
Grant 9,028,915 - Chang , et al. May 12, 2
2015-05-12
Method and System for Repairing Wafer Defects
App 20150128098 - Chang; Shih-Ming ;   et al.
2015-05-07
Method Of Semiconductor Integrated Circuit Fabrication
App 20150118837 - Shieh; Ming-Feng ;   et al.
2015-04-30
Method Of Making A FinFET Device
App 20150108551 - Shieh; Ming-Feng ;   et al.
2015-04-23
Method Of Making A FinFET Device
App 20150111362 - Shieh; Ming-Feng ;   et al.
2015-04-23
Integrated circuit layout and method with double patterning
Grant 8,987,008 - Shieh , et al. March 24, 2
2015-03-24
Design Structure For Chip Extension
App 20150082265 - Huang; Chin-Min ;   et al.
2015-03-19
Method of making a FinFET device
Grant 8,975,129 - Shieh , et al. March 10, 2
2015-03-10
Method For Integrated Circuit Patterning
App 20150064916 - Shieh; Ming-Feng ;   et al.
2015-03-05
Structure for chip extension
Grant 8,972,912 - Huang , et al. March 3, 2
2015-03-03
Integrated Circuit Layout And Method With Double Patterning
App 20150056724 - Shieh; Ming-Feng ;   et al.
2015-02-26
Metal grid in backside illumination image sensor chips and methods for forming the same
Grant 8,940,574 - Wang , et al. January 27, 2
2015-01-27
Method of making a lithography mask
Grant 8,916,482 - Lee , et al. December 23, 2
2014-12-23
Method of semiconductor integrated circuit fabrication
Grant 8,883,403 - Chen , et al. November 11, 2
2014-11-11
Lithography System with an Embedded Cleaning Module
App 20140268074 - Chien; Shang-Chieh ;   et al.
2014-09-18
Lithography Process on High Topology Features
App 20140272715 - Chang; Chun-Wei ;   et al.
2014-09-18
Thickening Phase For Spin Coating Process
App 20140272704 - Chang; Chun-Wei ;   et al.
2014-09-18
Method and Apparatus for Drying a Wafer
App 20140190634 - Huang; Wei-Chieh ;   et al.
2014-07-10
Manufacturing techniques for workpieces with varying topographies
Grant 8,771,534 - Chen , et al. July 8, 2
2014-07-08
System And Method For Lithography Alignment
App 20140185025 - Lin; Yu-Hsien ;   et al.
2014-07-03
Test Structure Placement on a Semiconductor Wafer
App 20140151699 - Wu; Chuan-Ling ;   et al.
2014-06-05
Method Of Overlay Prediction
App 20140111779 - Chen; Li-Jui ;   et al.
2014-04-24
Method and apparatus for drying a wafer
Grant 8,703,403 - Huang , et al. April 22, 2
2014-04-22
Semiconductor devices and manufacturing methods thereof
Grant 8,692,296 - Chen , et al. April 8, 2
2014-04-08
Method Of Semiconductor Integrated Circuit Fabrication
App 20140080067 - Chen; Chun-Chang ;   et al.
2014-03-20
Method of Forming a Photoresist Layer
App 20140065843 - Chang; Chun-Wei ;   et al.
2014-03-06
Method Of Patterning A Semiconductor Device Having Improved Spacing And Shape Control And A Semiconductor Device
App 20140035149 - CHEN; Jhun Hua ;   et al.
2014-02-06
Photomask and photomask substrate with reduced light scattering properties
Grant 8,624,345 - Wu , et al. January 7, 2
2014-01-07
Manufacturing techniques to limit damage on workpiece with varying topographies
Grant 8,623,229 - Chen , et al. January 7, 2
2014-01-07
Method Of Removing Residue During Semiconductor Device Fabrication
App 20130302985 - Wu; Chun-Chang ;   et al.
2013-11-14
Method of patterning a semiconductor device having improved spacing and shape control and a semiconductor device
Grant 8,580,637 - Chen , et al. November 12, 2
2013-11-12
Film Portion At Wafer Edge
App 20130273740 - CHANG; Chun-Wei ;   et al.
2013-10-17
Metal Grid in Backside Illumination Image Sensor Chips and Methods for Forming the Same
App 20130270667 - Wang; Chih-Chien ;   et al.
2013-10-17
Method Of Making A Lithography Mask
App 20130260573 - Lee; Hsin-Chang ;   et al.
2013-10-03
Semiconductor Devices and Manufacturing Methods Thereof
App 20130207163 - Chen; Chun-Chang ;   et al.
2013-08-15
Double Dipole Lithography Method For Semiconductor Device Fabrication
App 20130188164 - Wang; Hsien-Cheng ;   et al.
2013-07-25
Manufacturing Techniques for Workpieces with Varying Topographies
App 20130181320 - Chen; Chun-Chang ;   et al.
2013-07-18
Method And Apparatus For Drying A Wafer
App 20130164685 - Huang; Wei-Chieh ;   et al.
2013-06-27
Method Of Patterning A Semiconductor Device Having Improved Spacing And Shape Control And A Semiconductor Device
App 20130154100 - CHEN; Jhun Hua ;   et al.
2013-06-20
Methods of forming semiconductor structures
Grant 8,466,070 - Chou , et al. June 18, 2
2013-06-18
Manufacturing Techniques To Limit Damage On Workpiece With Varying Topographies
App 20130137266 - Chen; Chun-Chang ;   et al.
2013-05-30
Cross quadrupole double lithography method and apparatus for semiconductor device fabrication using two apertures
Grant 8,416,393 - Wang , et al. April 9, 2
2013-04-09
Fan Assembly Structure
App 20130084178 - HSIEH; Hung-Chang ;   et al.
2013-04-04
Planarization method for high wafer topography
Grant 8,409,456 - Lan , et al. April 2, 2
2013-04-02
Methods Of Forming Semiconductor Structures
App 20120309197 - CHOU; Hsing-Fei ;   et al.
2012-12-06
Methods and Systems for Lithography Alignment
App 20120293782 - Lu; Hsiao-Tzu ;   et al.
2012-11-22
Double patterning method using metallic compound mask layer
Grant 8,313,889 - Yu , et al. November 20, 2
2012-11-20
Planarization System For High Wafer Topography
App 20120266810 - LAN; Shun-Wei ;   et al.
2012-10-25
Planarization Method For High Wafer Topography
App 20120270398 - LAN; Shun-Wei ;   et al.
2012-10-25
Photomask And Photomask Substrate With Reduced Light Scattering Properties
App 20120237861 - Wu; Ken ;   et al.
2012-09-20
Methods and systems for lithography alignment
Grant 8,236,579 - Lu , et al. August 7, 2
2012-08-07
Method for forming a robust mask with reduced light scattering
Grant 8,198,118 - Wu , et al. June 12, 2
2012-06-12
Photolithography Process For Semiconductor Device
App 20120082940 - Yu; Vincent ;   et al.
2012-04-05
Exposure apparatus and method for photolithography process
Grant 8,098,364 - Yu , et al. January 17, 2
2012-01-17
System and method for manufacturing a mask for semiconductor processing
Grant 7,999,910 - Chen , et al. August 16, 2
2011-08-16
Electrical connector having latching mechanism
Grant 7,972,163 - Chen , et al. July 5, 2
2011-07-05
Method and system for optimizing intra-field critical dimension uniformity using a sacrificial twin mask
Grant 7,897,297 - Ke , et al. March 1, 2
2011-03-01
Double Patterning Method Using Metallic Compound Mask Layer
App 20100279234 - YU; Vincent ;   et al.
2010-11-04
Heat-dissipating And Fixing Mechanism Of Electronic Component And Process For Assembling Same
App 20100271785 - Hsieh; Hung-Chang ;   et al.
2010-10-28
Lithography Method And Apparatus For Semiconductor Device Fabrication
App 20100255679 - Wang; Hsien-Cheng ;   et al.
2010-10-07
Composite connector assembly and power supply apparatus having such composite connector assembly
Grant 7,780,481 - Chen , et al. August 24, 2
2010-08-24
Critical dimension (CD) control by spectrum metrology
Grant 7,759,136 - Hung , et al. July 20, 2
2010-07-20
Method and apparatus for compensated illumination for advanced lithography
Grant 7,697,114 - Chang , et al. April 13, 2
2010-04-13
Electrical Connector Having Latching Mechanism
App 20100003844 - Chen; Hung-Chuan ;   et al.
2010-01-07
Aperture design for improving critical dimension accuracy and electron beam lithography throughput
Grant 7,642,532 - Chen , et al. January 5, 2
2010-01-05
Novel Treatment For Mask Surface Chemical Reduction
App 20090258159 - Su; Yih-Chen ;   et al.
2009-10-15
Assembled structure of power semiconductor device and heat sink
Grant 7,589,970 - Hsieh September 15, 2
2009-09-15
Exposure Apparatus And Method For Photolithography Process
App 20090103068 - Yu; Vincent ;   et al.
2009-04-23
System and method for inspecting errors on a wafer
Grant 7,469,057 - Hung , et al. December 23, 2
2008-12-23
Circuit protecting structure of electronic device
Grant 7,431,592 - Hsieh , et al. October 7, 2
2008-10-07
Methods and Systems For Lithography Alignment
App 20080233661 - Lu; Hsiao-Tzu ;   et al.
2008-09-25
Double-Decker Pellicle-Mask Assembly
App 20080199783 - Chang; Shih-Ming ;   et al.
2008-08-21
Method And Apparatus For Cleaning A Substrate
App 20080156346 - Wang; Hsien-Cheng ;   et al.
2008-07-03
Composite Connector Assembly And Power Supply Apparatus Having Such Composite Connector Assembly
App 20080139022 - Chen; Hung-Chuan ;   et al.
2008-06-12
System and method for examining mask pattern fidelity
Grant 7,383,530 - Wang , et al. June 3, 2
2008-06-03
Circuit Protecting Structure Of Electronic Device
App 20080124953 - Hsieh; Hung-Chang ;   et al.
2008-05-29
Method for forming a robust mask with reduced light scattering
App 20080102379 - Wu; Ken ;   et al.
2008-05-01
Assembled Structure Of Power Semiconductor Device And Heat Sink
App 20080080140 - Hsieh; Hung-Chang
2008-04-03
Electrical connector having latching mechanism
Grant 7,335,048 - Chen , et al. February 26, 2
2008-02-26
Electrical Connector Having Latching Mechanism
App 20080032539 - Chen; Hung-Chuan ;   et al.
2008-02-07
Method and system for optimizing intra-field critical dimension uniformity using a sacrificial twin mask
App 20070292771 - Ke; Chih-Ming ;   et al.
2007-12-20
Method And System For Optimizing Intra-field Critical Dimension Uniformity Using A Sacrificial Twin Mask
App 20070292774 - Ke; Chih-Ming ;   et al.
2007-12-20
Method And Apparatus For Compensated Illumination For Advanced Lithography
App 20070291244 - CHANG; Shih-Ming ;   et al.
2007-12-20
Power Supply Apparatus Having Multiple Power Output Devices
App 20070270003 - Lin; Wen-Hsiang ;   et al.
2007-11-22
System and method for examining mask pattern fidelity
App 20070250805 - Wang; Wen-Chuan ;   et al.
2007-10-25
Method of preventing photoresist residues
Grant 7,279,428 - Lin , et al. October 9, 2
2007-10-09
Novel Critical Dimension (cd) Control By Spectrum Metrology
App 20070231935 - HUNG; Chang-Cheng ;   et al.
2007-10-04
New aperture design for improving critical dimension accuracy and electron beam lithography throughput
App 20070172744 - Chen; Chia-Jen ;   et al.
2007-07-26
In-situ overlay alignment
Grant 7,218,400 - Ho , et al. May 15, 2
2007-05-15
Photomask cleaning using vacuum ultraviolet (VUV) light cleaning
App 20070012336 - Su; Yih-Chen ;   et al.
2007-01-18
Photomask cleaning using vacuum ultraviolet (VUV) light cleaning
App 20070012335 - Chang; Hsiao Chih ;   et al.
2007-01-18
System and method for manufacturing a mask for semiconductor processing
App 20060246357 - Chen; Chia-Jen ;   et al.
2006-11-02
Method to improve photomask critical dimension uniformity and photomask fabrication process
Grant 7,060,400 - Wang , et al. June 13, 2
2006-06-13
Heat-dissipating fan module of electronic apparatus
Grant 6,995,979 - Hsieh , et al. February 7, 2
2006-02-07
In-situ overlay alignment
App 20050195397 - Ho, Grace H. ;   et al.
2005-09-08
Heat-dispersing module of electronic device
Grant 6,930,883 - Hsieh , et al. August 16, 2
2005-08-16
Method of preventing photoresist residues
App 20050106889 - Lin, Shang Wei ;   et al.
2005-05-19
Method to prevent side lobe on seal ring
Grant 6,858,354 - Hsieh , et al. February 22, 2
2005-02-22
Method to improve photomask critical dimension uniformity and photomask fabrication process
App 20050031966 - Wang, Wen-Chuan ;   et al.
2005-02-10
Heat-dispersing module of electronic device
App 20040252457 - Hsieh, Hung-Chang ;   et al.
2004-12-16
System and method for examining mask pattern fidelity
App 20040225488 - Wang, Wen-Chuan ;   et al.
2004-11-11
Heat-dispersing fan module of electronic apparatus
App 20040218360 - Hsieh, Hung-Chang ;   et al.
2004-11-04
System and method for inspecting errors on a wafer
App 20040165761 - Hung, Chang-Cheng ;   et al.
2004-08-26
Method and apparatus for placing identifying mark on semiconductor wafer
Grant 6,570,642 - Huang , et al. May 27, 2
2003-05-27
Dual damascene method employing sacrificial via fill layer
Grant 6,362,093 - Jang , et al. March 26, 2
2002-03-26
Method and apparatus for placing identifying mark on semiconductor wafer
App 20010048508 - Huang, Yung-Sheng ;   et al.
2001-12-06
Dual damascene approach for small geometry dimension
Grant 6,319,821 - Liu , et al. November 20, 2
2001-11-20
Method for placing identifying mark on semiconductor wafer
Grant 6,312,876 - Huang , et al. November 6, 2
2001-11-06
Deep-submicron integrated circuit package for improving bondability
Grant 6,242,813 - Huang , et al. June 5, 2
2001-06-05
Method of patterning narrow gate electrode
Grant 6,174,818 - Tao , et al. January 16, 2
2001-01-16
Method for improving bondability for deep-submicron integrated circuit package
Grant 6,110,816 - Huang , et al. August 29, 2
2000-08-29
Method of in line intra-field correction of overlay alignment
Grant 5,894,350 - Hsieh , et al. April 13, 1
1999-04-13

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed