name:-0.24066209793091
name:-0.20482301712036
name:-0.062026977539062
Guo; Dechao Patent Filings

Guo; Dechao

Patent Applications and Registrations

Patent applications and USPTO patent grants for Guo; Dechao.The latest application filed is for "interconnects on multiple sides of a semiconductor structure".

Company Profile
64.200.200
  • Guo; Dechao - Niskayuna NY
  • Guo; Dechao - Nisakyuna NY
  • Guo; Dechao - Niskauna NY
  • Guo; Dechao - Fishkill NY
  • Guo; Dechao - Wappingers Falls NY US
  • Guo; Dechao - Wappinger Falls NY
  • Guo; Dechao - Hopewell Junction NY
  • Guo; Dechao - Ossining NY US
  • Guo; Dechao - Yorktown Heights NY
  • - Wappingers Falls NY US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Trademarks
Patent Activity
PatentDate
Gate-all-around FETs having uniform threshold voltage
Grant 11,456,219 - Bao , et al. September 27, 2
2022-09-27
Interconnects On Multiple Sides Of A Semiconductor Structure
App 20220285259 - Wang; Junli ;   et al.
2022-09-08
Contact Resistance Reduction In Nanosheet Device Structure
App 20220181439 - Wu; Heng ;   et al.
2022-06-09
Contact resistance reduction in nanosheet device structure
Grant 11,289,573 - Wu , et al. March 29, 2
2022-03-29
Anomaly detection using image-based physical characterization
Grant 11,282,186 - Guo , et al. March 22, 2
2022-03-22
Stacked gate structures
Grant 11,282,838 - Zhang , et al. March 22, 2
2022-03-22
Forming A Sacrificial Liner For Dual Channel Devices
App 20220069118 - Bu; Huiming ;   et al.
2022-03-03
Stacked Field Effect Transistor With Wrap-around Contacts
App 20220052047 - Xie; Ruilong ;   et al.
2022-02-17
Nanosheet transistor with asymmetric gate stack
Grant 11,251,288 - Xie , et al. February 15, 2
2022-02-15
Nanosheet Transistor With Asymmetric Gate Stack
App 20220045193 - Xie; Ruilong ;   et al.
2022-02-10
Stacked Gate Structures
App 20220013521 - Zhang; Chen ;   et al.
2022-01-13
Stacked field effect transistor with wrap-around contacts
Grant 11,201,153 - Xie , et al. December 14, 2
2021-12-14
Forming a sacrificial liner for dual channel devices
Grant 11,189,729 - Bu , et al. November 30, 2
2021-11-30
Nanosheet Transistor With Asymmetric Gate Stack
App 20210359103 - Xie; Ruilong ;   et al.
2021-11-18
Self-aligned gate contact compatible cross couple contact formation
Grant 11,164,782 - Xie , et al. November 2, 2
2021-11-02
Stacked Field Effect Transistor With Wrap-around Contacts
App 20210265348 - Xie; Ruilong ;   et al.
2021-08-26
Forming a sacrificial liner for dual channel devices
Grant 11,094,824 - Bu , et al. August 17, 2
2021-08-17
Stacked field effect transistors with reduced coupling effect
Grant 11,069,684 - Xie , et al. July 20, 2
2021-07-20
Self-aligned Gate Contact Compatible Cross Couple Contact Formation
App 20210210384 - Xie; Ruilong ;   et al.
2021-07-08
Static Random-access Memory Cell Design
App 20210166754 - Yu; Lan ;   et al.
2021-06-03
Static random-access memory cell design
Grant 11,024,369 - Yu , et al. June 1, 2
2021-06-01
Static Random-access Memory Cell Design
App 20210151096 - Yu; Lan ;   et al.
2021-05-20
Semiconductor structure including first FinFET devices for low power applications and second FinFET devices for high power applications
Grant 11,011,517 - Yu , et al. May 18, 2
2021-05-18
Self-aligned Metal Gate With Poly Silicide For Vertical Transport Field-effect Transistors
App 20210118881 - Anderson; Brent A. ;   et al.
2021-04-22
Oxygen-free Replacment Liner For Improved Transistor Performance
App 20210111068 - Wu; Heng ;   et al.
2021-04-15
Oxygen-free replacement liner for improved transistor performance
Grant 10,971,399 - Wu , et al. April 6, 2
2021-04-06
Interface charge reduction for SiGe surface
Grant 10,971,626 - Sadana , et al. April 6, 2
2021-04-06
Self-aligned metal gate with poly silicide for vertical transport field-effect transistors
Grant 10,957,696 - Anderson , et al. March 23, 2
2021-03-23
Gate to source/drain leakage reduction in nanosheet transistors via inner spacer optimization
Grant 10,943,989 - Wu , et al. March 9, 2
2021-03-09
Gate stack designs for analog and logic devices in dual channel Si/SiGe CMOS
Grant 10,937,648 - Lee , et al. March 2, 2
2021-03-02
Semiconductor device with mitigated local layout effects
Grant 10,892,181 - Zhou , et al. January 12, 2
2021-01-12
Source and drain contact cut last process to enable wrap-around-contact
Grant 10,840,345 - Greene , et al. November 17, 2
2020-11-17
Leakage Control For Gate-all-around Field-effect Transistor Devices
App 20200343372 - Yu; Lan ;   et al.
2020-10-29
Semiconductor device having two-part spacer
Grant 10,804,368 - Bao , et al. October 13, 2
2020-10-13
Leakage control for gate-all-around field-effect transistor devices
Grant 10,797,163 - Yu , et al. October 6, 2
2020-10-06
Gate To Source/drain Leakage Reduction In Nanosheet Transistors Via Inner Spacer Optimization
App 20200287021 - Wu; Heng ;   et al.
2020-09-10
Contact Resistance Reduction In Nanosheet Device Structure
App 20200279918 - Wu; Heng ;   et al.
2020-09-03
Gate-all-around Fets Having Uniform Threshold Voltage
App 20200243399 - BAO; RUQIANG ;   et al.
2020-07-30
Oxygen-free Replacement Liner For Improved Transistor Performance
App 20200235008 - Wu; Heng ;   et al.
2020-07-23
Anomaly Detection Using Image-based Physical Characterization
App 20200219247 - Guo; Dechao ;   et al.
2020-07-09
Minimum Width Device For Power Saving
App 20200212037 - Yu; Lan ;   et al.
2020-07-02
Method Of Fin Oxidation By Flowable Oxide Fill And Steam Anneal To Mitigate Local Layout Effects
App 20200203214 - Zhou; Huimei ;   et al.
2020-06-25
Gate-all-around FETs having uniform threshold voltage
Grant 10,692,778 - Bao , et al.
2020-06-23
Fin isolation to mitigate local layout effects
Grant 10,685,866 - Zhou , et al.
2020-06-16
Anomaly detection using image-based physical characterization
Grant 10,664,966 - Guo , et al.
2020-05-26
Method of fin oxidation by flowable oxide fill and steam anneal to mitigate local layout effects
Grant 10,658,224 - Zhou , et al.
2020-05-19
Source And Drain Contact Cut Last Process To Enable Wrap-around-contact
App 20200152756 - GREENE; Andrew ;   et al.
2020-05-14
Source And Drain Contact Cut Last Process To Enable Wrap-around-contact
App 20200152751 - Greene; Andrew ;   et al.
2020-05-14
Gate Stack Designs for Analog and Logic Devices in Dual Channel Si/SiGe CMOS
App 20200144057 - Lee; Choonghyun ;   et al.
2020-05-07
Forming A Sacrificial Liner For Dual Channel Devices
App 20200091336 - Bu; Huiming ;   et al.
2020-03-19
Forming a sacrificial liner for dual channel devices
Grant 10,593,802 - Bu , et al.
2020-03-17
Fin Isolation To Mitigate Local Layout Effects
App 20200083088 - Zhou; Huimei ;   et al.
2020-03-12
Fin Oxidation By Flowable Oxide Fill And Steam Anneal To Mitigate Local Layout Effects
App 20200083089 - Zhou; Huimei ;   et al.
2020-03-12
Forming A Sacrificial Liner For Dual Channel Devices
App 20200083364 - Bu; Huiming ;   et al.
2020-03-12
Protection of low temperature isolation fill
Grant 10,586,700 - Belyansky , et al.
2020-03-10
Preserving channel strain in fin cuts
Grant 10,573,646 - Greene , et al. Feb
2020-02-25
Gate-all-around Fets Having Uniform Threshold Voltage
App 20200043808 - BAO; Ruqiang ;   et al.
2020-02-06
Semiconductor Device Having Two-part Spacer
App 20200035808 - Bao; Ruqiang ;   et al.
2020-01-30
FinFET with sigma recessed source/drain and un-doped buffer layer epitaxy for uniform junction formation
Grant 10,535,773 - Guo , et al. Ja
2020-01-14
Protection of low temperature isolation fill
Grant 10,535,550 - Belyansky , et al. Ja
2020-01-14
Gate stack designs for analog and logic devices in dual channel Si/SiGe CMOS
Grant 10,535,517 - Lee , et al. Ja
2020-01-14
Forming a sacrificial liner for dual channel devices
Grant 10,510,892 - Bu , et al. Dec
2019-12-17
Formation of a semiconductor device with selective nitride grown on conductor
Grant 10,468,412 - Bao , et al. No
2019-11-05
Interface Charge Reduction for SiGe Surface
App 20190326429 - Sadana; Devendra ;   et al.
2019-10-24
Gate Stack Designs for Analog and Logic Devices in Dual Channel Si/SiGe CMOS
App 20190295844 - Lee; Choonghyun ;   et al.
2019-09-26
Interface charge reduction for SiGe surface
Grant 10,381,479 - Sadana , et al. A
2019-08-13
Anomaly Detection Using Image-based Physical Characterization
App 20190228519 - Guo; Dechao ;   et al.
2019-07-25
Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
Grant 10,361,210 - Basker , et al.
2019-07-23
Replacement metal gate scheme with self-alignment gate for vertical field effect transistors
Grant 10,355,106 - Bao , et al. July 16, 2
2019-07-16
Integrated metal gate CMOS devices
Grant 10,332,883 - Bao , et al.
2019-06-25
Forming a sacrificial liner for dual channel devices
Grant 10,312,370 - Bu , et al.
2019-06-04
Finfet With Sigma Recessed Source/drain And Un-doped Buffer Layer Epitaxy For Uniform Junction Formation
App 20190157457 - Guo; Dechao ;   et al.
2019-05-23
Threshold voltage modulation through channel length adjustment
Grant 10,263,098 - Bao , et al.
2019-04-16
Preserving channel strain in fin cuts
Grant 10,256,238 - Greene , et al.
2019-04-09
Fabricating Fin-based split-gate high-drain-voltage transistor by work function tuning
Grant 10,256,150 - Guo , et al.
2019-04-09
Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
Grant 10,249,714 - Guo , et al.
2019-04-02
FinFET with sigma recessed source/drain and un-doped buffer layer epitaxy for uniform junction formation
Grant 10,249,758 - Guo , et al.
2019-04-02
Self-aligned doping in source/drain regions for low contact resistance
Grant 10,249,542 - Guo , et al.
2019-04-02
Threshold voltage modulation through channel length adjustment
Grant 10,224,419 - Bao , et al.
2019-03-05
Protection Of Low Temperature Isolation Fill
App 20190067079 - Belyansky; Michael P. ;   et al.
2019-02-28
Protection Of Low Temperature Isolation Fill
App 20190067078 - Belyansky; Michael P. ;   et al.
2019-02-28
Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
Grant 10,211,207 - Adusumilli , et al. Feb
2019-02-19
Interface Charge Reduction for SiGe Surface
App 20190035923 - Sadana; Devendra ;   et al.
2019-01-31
Fabricating fin-based split-gate high-drain-voltage transistor by work function tuning
Grant 10,170,368 - Guo , et al. J
2019-01-01
Threshold voltage modulation through channel length adjustment
Grant 10,170,593 - Bao , et al. J
2019-01-01
Finfet With Sigma Recessed Source/drain And Un-doped Buffer Layer Epitaxy For Uniform Junction Formation
App 20180358465 - Guo; Dechao ;   et al.
2018-12-13
Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
Grant 10,141,308 - Adusumilli , et al. Nov
2018-11-27
Self-aligned Metal Gate With Poly Silicide For Vertical Transport Field-effect Transistors
App 20180331101 - Anderson; Brent A. ;   et al.
2018-11-15
Preserving channel strain in fin cuts
Grant 10,128,239 - Greene , et al. November 13, 2
2018-11-13
Preserving Channel Strain In Fin Cuts
App 20180323194 - Greene; Andrew M. ;   et al.
2018-11-08
Preserving Channel Strain In Fin Cuts
App 20180323193 - Greene; Andrew M. ;   et al.
2018-11-08
Self-aligned Spacer For Cut-last Transistor Fabrication
App 20180323280 - Bao; Ruqiang ;   et al.
2018-11-08
FinFET with sigma recessed source/drain and un-doped buffer layer epitaxy for uniform junction formation
Grant 10,096,713 - Guo , et al. October 9, 2
2018-10-09
Fabricating Fin-based Split-gate High-drain-voltage Transistor By Work Function Tuning
App 20180286760 - Guo; Dechao ;   et al.
2018-10-04
Fabricating Fin-based Split-gate High-drain-voltage Transistor By Work Function Tuning
App 20180286761 - Guo; Dechao ;   et al.
2018-10-04
Low Resistance Source/drain Contacts For Complementary Metal Oxide Semiconductor (cmos) Devices
App 20180261597 - Adusumilli; Praneet ;   et al.
2018-09-13
Low Resistance Source/drain Contacts For Complementary Metal Oxide Semiconductor (cmos) Devices
App 20180261598 - Adusumilli; Praneet ;   et al.
2018-09-13
Replacement Metal Gate Scheme With Self-alignment Gate For Vertical Field Effect Transistors
App 20180254330 - Bao; Raqiang ;   et al.
2018-09-06
Self-aligned spacer for cut-last transistor fabrication
Grant 10,068,805 - Bao , et al. September 4, 2
2018-09-04
Modulating transistor performance
Grant 10,056,382 - Guo , et al. August 21, 2
2018-08-21
Silicon nitride fill for PC gap regions to increase cell density
Grant 10,056,378 - Guo , et al. August 21, 2
2018-08-21
Replacement Metal Gate Scheme With Self-alignment Gate For Vertical Field Effect Transistors
App 20180226493 - Bao; Raqiang ;   et al.
2018-08-09
Replacement metal gate scheme with self-alignment gate for vertical field effect transistors
Grant 10,043,891 - Bao , et al. August 7, 2
2018-08-07
Self-aligned doping in source/drain regions for low contact resistance
Grant 10,032,679 - Guo , et al. July 24, 2
2018-07-24
Self-aligned Doping In Source/drain Regions For Low Contact Resistance
App 20180197792 - Guo; Dechao ;   et al.
2018-07-12
Self-aligned Doping In Source/drain Regions For Low Contact Resistance
App 20180197793 - Guo; Dechao ;   et al.
2018-07-12
Self-aligned spacer for cut-last transistor fabrication
Grant 10,020,378 - Bao , et al. July 10, 2
2018-07-10
Low resistance source/drain contacts for complementary metal oxide semiconductor (CMOS) devices
Grant 9,978,750 - Adusumilli , et al. May 22, 2
2018-05-22
Threshold Voltage Modulation Through Channel Length Adjustment
App 20180122813 - Bao; Ruqiang ;   et al.
2018-05-03
Replacement metal gate scheme with self-alignment gate for vertical field effect transistors
Grant 9,960,254 - Bao , et al. May 1, 2
2018-05-01
Modulating Transistor Performance
App 20180108661 - Guo; Dechao ;   et al.
2018-04-19
Preserving Channel Strain In Fin Cuts
App 20180108655 - Greene; Andrew M. ;   et al.
2018-04-19
Integrated metal gate CMOS devices
Grant 9,941,282 - Bao , et al. April 10, 2
2018-04-10
Integrated Metal Gate Cmos Devices
App 20180090381 - BAO; Ruqiang ;   et al.
2018-03-29
Forming A Sacrificial Liner For Dual Channel Devices
App 20180090604 - Bu; Huiming ;   et al.
2018-03-29
Forming A Sacrificial Liner For Dual Channel Devices
App 20180090599 - Bu; Huiming ;   et al.
2018-03-29
Forming A Sacrificial Liner For Dual Channel Devices
App 20180090606 - Bu; Huiming ;   et al.
2018-03-29
Threshold Voltage Modulation Through Channel Length Adjustment
App 20180083013 - Bao; Ruqiang ;   et al.
2018-03-22
Self-aligned Spacer For Cut-last Transistor Fabrication
App 20180082905 - Bao; Ruqiang ;   et al.
2018-03-22
Threshold Voltage Modulation Through Channel Length Adjustment
App 20180083017 - Bao; Ruqiang ;   et al.
2018-03-22
Self-aligned Spacer For Cut-last Transistor Fabrication
App 20180083120 - Bao; Ruqiang ;   et al.
2018-03-22
Threshold Voltage Modulation Through Channel Length Adjustment
App 20180083016 - Bao; Ruqiang ;   et al.
2018-03-22
Threshold Voltage Modulation Through Channel Length Adjustment
App 20180083015 - Bao; Ruqiang ;   et al.
2018-03-22
Threshold voltage modulation through channel length adjustment
Grant 9,922,983 - Bao , et al. March 20, 2
2018-03-20
Threshold voltage modulation through channel length adjustment
Grant 9,922,984 - Bao , et al. March 20, 2
2018-03-20
Silicon Nitride Fill For Pc Gap Regions To Increase Cell Density
App 20180069002 - Guo; Dechao ;   et al.
2018-03-08
Integrated metal gate CMOS devices
Grant 9,899,264 - Bao , et al. February 20, 2
2018-02-20
Integrated Metal Gate Cmos Devices
App 20180005891 - Bao; Ruqiang ;   et al.
2018-01-04
Integrated Metal Gate Cmos Devices
App 20180006033 - Bao; Ruqiang ;   et al.
2018-01-04
Silicon nitride fill for PC gap regions to increase cell density
Grant 9,859,275 - Guo , et al. January 2, 2
2018-01-02
Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
Grant 9,859,286 - Basker , et al. January 2, 2
2018-01-02
Formation Of A Semiconductor Device With Selective Nitride Grown On Conductor
App 20170373063 - Bao; Ruqiang ;   et al.
2017-12-28
Partial sacrificial dummy gate with CMOS device with high-k metal gate
Grant 9,853,116 - Guo , et al. December 26, 2
2017-12-26
Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction and semiconductor device having reduced junction leakage
Grant 9,793,272 - Guo , et al. October 17, 2
2017-10-17
Method Of Forming Epitaxial Buffer Layer For Finfet Source And Drain Junction Leakage Reduction
App 20170294510 - GUO; DECHAO ;   et al.
2017-10-12
Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
Grant 9,786,661 - Guo , et al. October 10, 2
2017-10-10
Forming a sacrificial liner for dual channel devices
Grant 9,773,893 - Bu , et al. September 26, 2
2017-09-26
FinFET having controlled dielectric region height
Grant 9,768,027 - Guo , et al. September 19, 2
2017-09-19
Cutting fins and gates in CMOS devices
Grant 9,721,848 - Bu , et al. August 1, 2
2017-08-01
Self-aligned spacer for cut-last transistor fabrication
Grant 9,704,754 - Bao , et al. July 11, 2
2017-07-11
Light emitting diode (LED) using carbon materials
Grant 9,647,169 - Guo , et al. May 9, 2
2017-05-09
Structure and method to form passive devices in ETSOI process flow
Grant 9,570,466 - Cai , et al. February 14, 2
2017-02-14
Fabricating shallow-trench isolation semiconductor devices to reduce or eliminate oxygen diffusion
Grant 9,484,402 - Cai , et al. November 1, 2
2016-11-01
Finfet Having Controlled Dielectric Region Height
App 20160314976 - Guo; Dechao ;   et al.
2016-10-27
Self aligned embedded gate carbon transistors
Grant 9,472,640 - Guo , et al. October 18, 2
2016-10-18
Method Of Forming Epitaxial Buffer Layer For Finfet Source And Drain Junction Leakage Reduction
App 20160284701 - GUO; DECHAO ;   et al.
2016-09-29
Method Of Forming Epitaxial Buffer Layer For Finfet Source And Drain Junction Leakage Reduction
App 20160276463 - GUO; DECHAO ;   et al.
2016-09-22
Deposition on a nanowire using atomic layer deposition
Grant 9,437,677 - Guo , et al. September 6, 2
2016-09-06
Finfet Having Controlled Dielectric Region Height
App 20160254178 - Guo; Dechao ;   et al.
2016-09-01
Silicon Nitride Fill For Pc Gap Regions To Increase Cell Density
App 20160218102 - Guo; Dechao ;   et al.
2016-07-28
Fabricating shallow-trench isolation semiconductor devices to reduce or eliminate oxygen diffusion
Grant 9,397,158 - Cai , et al. July 19, 2
2016-07-19
Forming wrap-around silicide contact on finFET
Grant 9,397,197 - Guo , et al. July 19, 2
2016-07-19
Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
Grant 9,390,976 - Guo , et al. July 12, 2
2016-07-12
Low-drive Current Finfet Structure For Improving Circuit Density Of Ratioed Logic In Sram Devices
App 20160181254 - Basker; Veeraraghavan S. ;   et al.
2016-06-23
Low-drive Current Finfet Structure For Improving Circuit Density Of Ratioed Logic In Sram Devices
App 20160181256 - Basker; Veeraraghavan S. ;   et al.
2016-06-23
Replacement gate MOSFET with a high performance gate electrode
Grant 9,337,289 - Li , et al. May 10, 2
2016-05-10
Forming wrap-around silicide contact on finFET
Grant 9,318,581 - Guo , et al. April 19, 2
2016-04-19
Partially-blocked well implant to improve diode ideality with SiGe anode
Grant 9,312,358 - Guo , et al. April 12, 2
2016-04-12
Partial Sacrificial Dummy Gate With Cmos Device With High-k Metal Gate
App 20160099332 - Guo; Dechao ;   et al.
2016-04-07
Partial sacrificial dummy gate with CMOS device with high-k metal gate
Grant 9,299,795 - Guo , et al. March 29, 2
2016-03-29
Faceted intrinsic epitaxial buffer layer for reducing short channel effects while maximizing channel stress levels
Grant 9,287,399 - Chandra , et al. March 15, 2
2016-03-15
Partially-blocked well implant to improve diode ideality with SiGe anode
Grant 9,252,234 - Guo , et al. February 2, 2
2016-02-02
Work function adjustment by carbon implant in semiconductor devices including gate structure
Grant 9,252,146 - Liang , et al. February 2, 2
2016-02-02
Graphene sensor
Grant 9,250,204 - Guo , et al. February 2, 2
2016-02-02
Epitaxial Buffer Layer For Finfet Source And Drain Junction Leakage Reduction
App 20150318211 - GUO; DECHAO ;   et al.
2015-11-05
FinFET structure and method to adjust threshold voltage in a FinFET structure
Grant 9,171,954 - Cartier , et al. October 27, 2
2015-10-27
Graphene sensor
Grant 9,157,887 - Guo , et al. October 13, 2
2015-10-13
Method to fabricate a vertical transistor having an asymmetric gate with two conductive layers having different work functions
Grant 9,142,660 - Guo , et al. September 22, 2
2015-09-22
Self-Aligned III-V MOSFET Fabrication With In-Situ III-V Epitaxy And In-Situ Metal Epitaxy and Contact Formation
App 20150235903 - Guo; Dechao ;   et al.
2015-08-20
Self Aligned Embedded Gate Carbon Transistors
App 20150228753 - GUO; DECHAO ;   et al.
2015-08-13
Semiconductor-on-insulator device including stand-alone well implant to provide junction butting
Grant 9,105,725 - Guo , et al. August 11, 2
2015-08-11
Structure and method of T.sub.inv scaling for high k metal gate technology
Grant 9,087,784 - Chudzik , et al. July 21, 2
2015-07-21
Self aligned embedded gate carbon transistors
Grant 9,087,811 - Guo , et al. July 21, 2
2015-07-21
Fabricating Shallow-Trench Isolation Semiconductor Devices To Reduce Or Eliminate Oxygen Diffusion
App 20150194334 - Cai; Ming ;   et al.
2015-07-09
Fabricating Shallow-Trench Isolation Semiconductor Devices To Reduce Or Eliminate Oxygen Diffusion
App 20150194484 - Cai; Ming ;   et al.
2015-07-09
Stacked Carbon-based Fets
App 20150187764 - GUO; DECHAO ;   et al.
2015-07-02
Partial Sacrificial Dummy Gate With Cmos Device With High-k Metal Gate
App 20150187897 - Guo; Dechao ;   et al.
2015-07-02
Graphene sensor
Grant 9,068,936 - Guo , et al. June 30, 2
2015-06-30
Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation
Grant 9,059,271 - Guo , et al. June 16, 2
2015-06-16
Semiconductor-on-insulator device including stand-alone well implant to provide junction butting
Grant 9,059,291 - Guo , et al. June 16, 2
2015-06-16
Transistor having replacement metal gate and process for fabricating the same
Grant 9,059,091 - Guo , et al. June 16, 2
2015-06-16
Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation
Grant 9,059,272 - Guo , et al. June 16, 2
2015-06-16
Replacement-gate-compatible programmable electrical antifuse
Grant 9,059,006 - Chakravarti , et al. June 16, 2
2015-06-16
Fabricating shallow-trench isolation semiconductor devices to reduce or eliminate oxygen diffusion
Grant 9,059,244 - Cai , et al. June 16, 2
2015-06-16
Self aligned embedded gate carbon transistors
Grant 9,048,216 - Guo , et al. June 2, 2
2015-06-02
Threshold voltage adjustment for thin body MOSFETs
Grant 9,040,399 - Brodsky , et al. May 26, 2
2015-05-26
Field effect transistor device with shaped conduction channel
Grant 9,041,057 - Guo , et al. May 26, 2
2015-05-26
Partial sacrificial dummy gate with CMOS device with high-k metal gate
Grant 9,041,076 - Guo , et al. May 26, 2
2015-05-26
Graphene Sensor
App 20150137078 - Guo; Dechao ;   et al.
2015-05-21
Replacement Gate Mosfet With A High Performance Gate Electrode
App 20150137269 - Li; Zhengwen ;   et al.
2015-05-21
Method and structure for dielectric isolation in a fin field effect transistor
Grant 9,034,715 - Wang , et al. May 19, 2
2015-05-19
Continuously Scalable Width And Height Semiconductor Fins
App 20150115365 - Guo; Dechao ;   et al.
2015-04-30
Fabricating Shallow-Trench Isolation Semiconductor Devices To Reduce Or Eliminate Oxygen Diffusion
App 20150102453 - Cai; Ming ;   et al.
2015-04-16
Structure and method of T.sub.inv scaling for high k metal gate technology
Grant 9,006,837 - Chudzik , et al. April 14, 2
2015-04-14
Stacked carbon-based FETs
Grant 8,994,080 - Guo , et al. March 31, 2
2015-03-31
Faceted Intrinsic Epitaxial Buffer Layer For Reducing Short Channel Effects While Maximizing Channel Stress Levels
App 20150084096 - Chandra; Bhupesh ;   et al.
2015-03-26
Semiconductor-on-insulator Device Including Stand-alone Well Implant To Provide Junction Butting
App 20150072481 - Guo; Dechao ;   et al.
2015-03-12
Semiconductor-on-insulator Device Including Stand-alone Well Implant To Provide Junction Butting
App 20150069513 - Guo; Dechao ;   et al.
2015-03-12
Light Emitting Diode (LED) Using Carbon Materials
App 20150060770 - Guo; Dechao ;   et al.
2015-03-05
Self-aligned contacts
Grant 8,969,187 - Guo , et al. March 3, 2
2015-03-03
Replacement gate MOSFET with a high performance gate electrode
Grant 8,969,933 - Li , et al. March 3, 2
2015-03-03
Finfet Structure And Method To Adjust Threshold Voltage In A Finfet Structure
App 20150054093 - CARTIER; Eduard A. ;   et al.
2015-02-26
Transistors with uniaxial stress channels
Grant 8,957,464 - Cai , et al. February 17, 2
2015-02-17
Stacked carbon-based FETs
Grant 8,952,431 - Guo , et al. February 10, 2
2015-02-10
Diffusion sidewall for a semiconductor structure
Grant 8,946,853 - Guo , et al. February 3, 2
2015-02-03
Faceted intrinsic epitaxial buffer layer for reducing short channel effects while maximizing channel stress levels
Grant 8,940,595 - Chandra , et al. January 27, 2
2015-01-27
FinFET structure and method to adjust threshold voltage in a FinFET structure
Grant 8,932,949 - Cartier , et al. January 13, 2
2015-01-13
Structure and method of high-performance extremely thin silicon on insulator complementary metal--oxide--semiconductor transistors with dual stress buried insulators
Grant 8,927,364 - Cai , et al. January 6, 2
2015-01-06
Strained finFET with an electrically isolated channel
Grant 8,928,086 - Utomo , et al. January 6, 2
2015-01-06
Continuously scalable width and height semiconductor fins
Grant 8,927,432 - Guo , et al. January 6, 2
2015-01-06
Structure And Method Of High-performance Extremely Thin Silicon On Insulator Complementary Metal-oxide-semiconductor Transistors With Dual Stress Buried Insulators
App 20150001625 - Cai; Ming ;   et al.
2015-01-01
Strained Finfet With An Electrically Isolated Channel
App 20140377924 - Utomo; Henry K. ;   et al.
2014-12-25
Light emitting diode (LED) using carbon materials
Grant 8,916,405 - Guo , et al. December 23, 2
2014-12-23
Self-aligned carbon electronics with embedded gate electrode
Grant 8,912,098 - Guo , et al. December 16, 2
2014-12-16
Deposition on a nanowire using atomic layer deposition
Grant 8,900,935 - Guo , et al. December 2, 2
2014-12-02
Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
Grant 8,901,674 - Wong , et al. December 2, 2
2014-12-02
Self-aligned contacts for field effect transistor devices
Grant 8,901,626 - Guo , et al. December 2, 2
2014-12-02
Transistor employing vertically stacked self-aligned carbon nanotubes
Grant 8,895,371 - Cao , et al. November 25, 2
2014-11-25
Graphene based three-dimensional integrated circuit device
Grant 8,895,372 - Guo , et al. November 25, 2
2014-11-25
Stacked Carbon-based Fets
App 20140332862 - Guo; Dechao ;   et al.
2014-11-13
Stacked Carbon-based Fets
App 20140332860 - Guo; Dechao ;   et al.
2014-11-13
Self Aligned Embedded Gate Carbon Transistors
App 20140312412 - GUO; DECHAO ;   et al.
2014-10-23
Self Aligned Embedded Gate Carbon Transistors
App 20140312413 - Guo; Dechao ;   et al.
2014-10-23
Vertical transistor having an asymmetric gate
Grant 8,866,214 - Guo , et al. October 21, 2
2014-10-21
Schottky junction si nanowire field-effect bio-sensor/molecule detector
Grant 8,859,316 - Guo , et al. October 14, 2
2014-10-14
Replacement gate electrode with planar work function material layers
Grant 8,853,788 - Guo , et al. October 7, 2
2014-10-07
Method And Structure For Dielectric Isolation In A Fin Field Effect Transistor
App 20140264591 - Wang; Yanfeng ;   et al.
2014-09-18
Stress-enhancing Selective Epitaxial Deposition Of Embedded Source And Drain Regions
App 20140264444 - Guo; Dechao ;   et al.
2014-09-18
Faceted Intrinsic Epitaxial Buffer Layer For Reducing Short Channel Effects While Maximizing Channel Stress Levels
App 20140264558 - Chandra; Bhupesh ;   et al.
2014-09-18
Work Function Adjustment By Carbon Implant In Semiconductor Devices Including Gate Structure
App 20140246727 - Liang; Yue ;   et al.
2014-09-04
III-V compound semiconductor material passivation with crystalline interlayer
Grant 8,809,860 - Shiu , et al. August 19, 2
2014-08-19
Method to fabricate multicrystal solar cell with light trapping surface using nanopore copolymer
Grant 8,802,482 - Guo , et al. August 12, 2
2014-08-12
Partial Sacrificial Dummy Gate With Cmos Device With High-k Metal Gate
App 20140217481 - Guo; Dechao ;   et al.
2014-08-07
Finfet Structure And Method To Adjust Threshold Voltage In A Finfet Structure
App 20140217504 - CARTIER; Eduard A. ;   et al.
2014-08-07
Extremely Thin Semiconductor-On-Insulator Field-Effect Transistor With An Epitaxial Source And Drain Having A Low External Resistance
App 20140203363 - Adam; Thomas N. ;   et al.
2014-07-24
Extremely Thin Semiconductor-on-insulator Field-effect Transistor With An Epitaxial Source And Drain Having A Low External Resistance
App 20140203361 - Adam; Thomas N. ;   et al.
2014-07-24
Strained Finfet With An Electrically Isolated Channel
App 20140191297 - Utomo; Henry K. ;   et al.
2014-07-10
Transistor employing vertically stacked self-aligned carbon nanotubes
Grant 8,772,782 - Cao , et al. July 8, 2
2014-07-08
FinFET structure and method to adjust threshold voltage in a FinFET structure
Grant 8,772,149 - Cartier , et al. July 8, 2
2014-07-08
STRUCTURE AND METHOD OF Tinv SCALING FOR HIGH k METAL GATE TECHNOLOGY
App 20140170844 - Chudzik; Michael P. ;   et al.
2014-06-19
FinFET structure having fully silicided fin
Grant 8,753,964 - Bryant , et al. June 17, 2
2014-06-17
Non-volatile Graphene Nanomechanical Switch
App 20140154851 - Guo; Dechao ;   et al.
2014-06-05
Non-volatile Graphene Nanomechanical Switch
App 20140151786 - Guo; Dechao ;   et al.
2014-06-05
Field effect transistor device and fabrication
Grant 8,742,475 - Guo , et al. June 3, 2
2014-06-03
Non-volatile graphene nanomechanical switch
Grant 8,741,700 - Guo , et al. June 3, 2
2014-06-03
Field effect transistor device and fabrication
Grant 8,736,023 - Guo , et al. May 27, 2
2014-05-27
Non-volatile graphene nanomechanical switch
Grant 8,735,947 - Guo , et al. May 27, 2
2014-05-27
Work function adjustment by carbon implant in semiconductor devices including gate structure
Grant 8,729,637 - Liang , et al. May 20, 2
2014-05-20
Structure and Method to Form Passive Devices in ETSOI Process Flow
App 20140131802 - Cai; Ming ;   et al.
2014-05-15
Transistors With Uniaxial Stress Channels
App 20140124861 - Cai; Ming ;   et al.
2014-05-08
Implant free extremely thin semiconductor devices
Grant 8,710,588 - Cheng , et al. April 29, 2
2014-04-29
Integration of SMT in replacement gate FINFET process flow
Grant 8,697,523 - Cai , et al. April 15, 2
2014-04-15
Multiple threshold voltages in field effect transistor devices
Grant 8,686,514 - Guo , et al. April 1, 2
2014-04-01
Nanopillar decoupling capacitor
Grant 8,680,651 - Chakravarti , et al. March 25, 2
2014-03-25
Self-aligned carbon electronics with embedded gate electrode
Grant 8,680,646 - Guo , et al. March 25, 2
2014-03-25
PARTIALLY-BLOCKED WELL IMPLANT TO IMPROVE DIODE IDEALITY WITH SiGe ANODE
App 20140065807 - Guo; Dechao ;   et al.
2014-03-06
PARTIALLY-BLOCKED WELL IMPLANT TO IMPROVE DIODE IDEALITY WITH SiGe ANODE
App 20140061857 - Guo; Dechao ;   et al.
2014-03-06
Embedded stressors for multigate transistor devices
Grant 8,659,091 - Cai , et al. February 25, 2
2014-02-25
Embedded stressors for multigate transistor devices
Grant 8,658,505 - Cai , et al. February 25, 2
2014-02-25
Transistor having replacement metal gate and process for fabricating the same
Grant 8,653,602 - Guo , et al. February 18, 2
2014-02-18
Replacement Gate Electrode With Planar Work Function Material Layers
App 20140042561 - Guo; Dechao ;   et al.
2014-02-13
Structure and method to form passive devices in ETSOI process flow
Grant 8,648,438 - Cai , et al. February 11, 2
2014-02-11
Transistor having replacement metal gate and process for fabricating the same
App 20140035068 - Guo; Dechao ;   et al.
2014-02-06
Structure and method of T.sub.inv scaling for high .kappa. metal gate technology
Grant 8,643,115 - Chudzik , et al. February 4, 2
2014-02-04
FinFET with fully silicided gate
Grant 8,643,120 - Cai , et al. February 4, 2
2014-02-04
Transistors with uniaxial stress channels
Grant 8,633,077 - Cai , et al. January 21, 2
2014-01-21
Continuously Scalable Width And Height Semiconductor Fins
App 20130334602 - Guo; Dechao ;   et al.
2013-12-19
FETs with hybrid channel materials
Grant 8,610,172 - Guo , et al. December 17, 2
2013-12-17
Preventing Fully Silicided Formation In High-k Metal Gate Processing
App 20130328135 - Bu; Huiming ;   et al.
2013-12-12
Preventing Fully Silicided Formation In High-k Metal Gate Processing
App 20130330899 - Bu; Huiming ;   et al.
2013-12-12
Graphene Sensor
App 20130328016 - Guo; Dechao ;   et al.
2013-12-12
Resonance nanoelectromechanical systems
Grant 8,605,499 - Chang , et al. December 10, 2
2013-12-10
Controlling threshold voltage in carbon based field effect transistors
Grant 8,598,665 - Frank , et al. December 3, 2
2013-12-03
Replacement gate MOSFET with a high performance gate electrode
Grant 8,592,266 - Li , et al. November 26, 2
2013-11-26
Self-Aligned III-V MOSFET Fabrication With In-Situ III-V Epitaxy And In-Situ Metal Epitaxy And Contact Formation
App 20130307089 - Guo; Dechao ;   et al.
2013-11-21
Self-Aligned III-V MOSFET Fabrication with In-Situ III-V Epitaxy And In-Situ Metal Epitaxy And Contact Formation
App 20130309830 - Guo; Dechao ;   et al.
2013-11-21
Fin Field Effect Transistor With Variable Channel Thickness For Threshold Voltage Tuning
App 20130285156 - Cai; Ming ;   et al.
2013-10-31
Replacement gate electrode with planar work function material layers
Grant 8,569,135 - Guo , et al. October 29, 2
2013-10-29
Structure And Method Of High-performance Extremely Thin Silicon On Insulator Complementary Metal-oxide-semiconductor Transistors With Dual Stress Buried Insulators
App 20130264653 - Cai; Ming ;   et al.
2013-10-10
Schottky FET fabricated with gate last process
Grant 8,541,835 - Cai , et al. September 24, 2
2013-09-24
Self-aligned Carbon Electronics With Embedded Gate Electrode
App 20130244386 - GUO; DECHAO ;   et al.
2013-09-19
Multi-gate transistor having sidewall contacts
Grant 8,536,651 - Chang , et al. September 17, 2
2013-09-17
Self-aligned Contacts
App 20130230978 - Guo; Dechao ;   et al.
2013-09-05
Transistors With Uniaxial Stress Channels
App 20130207194 - Cai; Ming ;   et al.
2013-08-15
Integration of SMT in Replacement Gate FINFET Process Flow
App 20130200468 - Cai; Ming ;   et al.
2013-08-08
STRUCTURE AND METHOD OF Tinv SCALING FOR HIGH k METAL GATE TECHNOLOGY
App 20130187239 - Chudzik; Michael P. ;   et al.
2013-07-25
Replacement Gate Mosfet With A High Performance Gate Electrode
App 20130175641 - Li; Zhengwen ;   et al.
2013-07-11
Finfet With Fully Silicided Gate
App 20130175620 - CAI; Ming ;   et al.
2013-07-11
Reduction Of Contact Resistance And Junction Leakage
App 20130175632 - CAI; Ming ;   et al.
2013-07-11
Controlling Threshold Voltage In Carbon Based Field Effect Transistors
App 20130175633 - Frank; Martin M. ;   et al.
2013-07-11
Finfet With Fully Silicided Gate
App 20130178020 - CAI; Ming ;   et al.
2013-07-11
Scaling Of Metal Gate With Aluminum Containing Metal Layer For Threshold Voltage Shift
App 20130175642 - Wong; Keith Kwong Hon ;   et al.
2013-07-11
Field Effect Transistor Device And Fabrication
App 20130171813 - Guo; Dechao ;   et al.
2013-07-04
Iii-v Compound Semiconductor Material Passivation With Crystalline Interlayer
App 20130168834 - Shiu; Kuen-Ting ;   et al.
2013-07-04
Embedded Stressors For Multigate Transistor Devices
App 20130154029 - Cai; Ming ;   et al.
2013-06-20
Embedded Stressors For Multigate Transistor Devices
App 20130154001 - CAI; MING ;   et al.
2013-06-20
FETs with Hybrid Channel Materials
App 20130153964 - Guo; Dechao ;   et al.
2013-06-20
Transistor Employing Vertically Stacked Self-aligned Carbon Nanotubes
App 20130126830 - Cao; Qing ;   et al.
2013-05-23
Transistor Employing Vertically Stacked Self-aligned Carbon Nanotubes
App 20130130446 - Cao; Qing ;   et al.
2013-05-23
Method to Fabricate Multicrystal Solar Cell with Light Trapping Surface Using Nanopore Copolymer
App 20130115732 - Guo; Dechao ;   et al.
2013-05-09
Threshold Voltage Adjustment For Thin Body Mosfets
App 20130105894 - Brodsky; MaryJane ;   et al.
2013-05-02
Threshold Voltage Adjustment For Thin Body Mosfets
App 20130105896 - Brodsky; MaryJane ;   et al.
2013-05-02
Finfet Structure And Method To Adjust Threshold Voltage In A Finfet Structure
App 20130099313 - CARTIER; Eduard A. ;   et al.
2013-04-25
Vertical Transistor Having An Asymmetric Gate
App 20130095623 - Guo; Dechao ;   et al.
2013-04-18
Carbon Implant For Workfunction Adjustment In Replacement Gate Transistor
App 20130093018 - Guo; Dechao ;   et al.
2013-04-18
Vertical Transistor Having An Asymmetric Gate
App 20130093000 - Guo; Dechao ;   et al.
2013-04-18
Carbon Implant For Workfunction Adjustment In Replacement Gate Transistor
App 20130093021 - GUO; Dechao ;   et al.
2013-04-18
Work Function Adjustment By Carbon Implant In Semiconductor Devices Including Gate Structure
App 20130087859 - Liang; Yue ;   et al.
2013-04-11
Light Emitting Diode (LED) Using Carbon Materials
App 20130087759 - Guo; Dechao ;   et al.
2013-04-11
Structure and Method to Form Passive Devices in ETSOI Process Flow
App 20130082348 - Cai; Ming ;   et al.
2013-04-04
Implant Free Extremely Thin Semiconductor Devices
App 20130056802 - Cheng; Kangguo ;   et al.
2013-03-07
Nanopillar E-Fuse Structure and Process
App 20130048988 - Chakravarti; Satya N. ;   et al.
2013-02-28
Single Liner Process to Achieve Dual Stress
App 20130029488 - Cai; Ming ;   et al.
2013-01-31
Replacement Gate Electrode With Planar Work Function Material Layers
App 20130020658 - Guo; Dechao ;   et al.
2013-01-24
Graphene Sensor
App 20120329193 - Guo; Dechao ;   et al.
2012-12-27
Multi-gate Transistor Having Sidewall Contacts
App 20120326236 - Chang; Josephine B. ;   et al.
2012-12-27
Self-aligned Carbon Electronics With Embedded Gate Electrode
App 20120326228 - GUO; DECHAO ;   et al.
2012-12-27
Deposition On A Nanowire Using Atomic Layer Deposition
App 20120326125 - Guo; Dechao ;   et al.
2012-12-27
Adaptive Chuck For Planar Bonding Between Substrates
App 20120312452 - Guo; Dechao ;   et al.
2012-12-13
Replacement Gate Electrode With A Tungsten Diffusion Barrier Layer
App 20120306026 - Guo; Dechao ;   et al.
2012-12-06
Self-aligned Contacts
App 20120299125 - Guo; Dechao ;   et al.
2012-11-29
Multiple Threshold Voltages in Field Effect Transistor Devices
App 20120299118 - Guo; Dechao ;   et al.
2012-11-29
Schottky Fet Fabricated With Gate Last Process
App 20120299104 - Cai; Jin ;   et al.
2012-11-29
Self-Aligned Contacts in Carbon Devices
App 20120292597 - Chang; Josephine B. ;   et al.
2012-11-22
Self-aligned Carbon Electronics With Embedded Gate Electrode
App 20120292602 - GUO; DECHAO ;   et al.
2012-11-22
Graphene Based Three-dimensional Integrated Circuit Device
App 20120295423 - Guo; Dechao ;   et al.
2012-11-22
Silicon on Insulator Field Effect Device
App 20120292701 - Guo; Dechao ;   et al.
2012-11-22
Ultrathin Spacer Formation for Carbon-Based FET
App 20110315961A1 -
2011-12-29

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed