loadpatents
name:-0.19878911972046
name:-0.17296695709229
name:-0.062419891357422
Chen; Yi Wei Patent Filings

Chen; Yi Wei

Patent Applications and Registrations

Patent applications and USPTO patent grants for Chen; Yi Wei.The latest application filed is for "thermoresponsive facial mask and materials thereof".

Company Profile
67.178.185
  • Chen; Yi Wei - Taichung City TW
  • Chen; Yi-Wei - Taipei TW
  • Chen; Yi-Wei - Hsinchu TW
  • Chen; Yi-Wei - Hsin-Chu TW
  • CHEN; Yi-Wei - Taoyuan City TW
  • Chen; Yi-Wei - Taichung TW
  • Chen; Yi-Wei - Hsinchu County TW
  • Chen; Yi Wei - San Francisco CA
  • Chen; Yi-Wei - New Taipei TW
  • Chen; Yi Wei - Hillsboro OR
  • Chen; Yi-Wei - Yilan County TW
  • Chen; Yi-Wei - Taoyuan County TW
  • Chen; Yi-Wei - Tai-Chung Hsien TW
  • Chen; Yi-Wei - Taoyuan TW
  • Chen; Yi Wei - Stanford CA US
  • Chen; Yi-wei - Changhua TW
  • CHEN; YI-WEI - TAIPEI CITY TW
  • Chen; Yi-Wei - Taichung County TW
  • Chen; Yi-Wei - Tucheng TW
  • Chen; Yi-Wei - Dajia Township TW
  • Chen; Yi-Wei - I-Lan Hsien TW
  • Chen; Yi-Wei - Yilan TW
  • Chen; Yi-Wei - Dongshan Township TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Thermoresponsive Facial Mask and Materials Thereof
App 20220305304 - Chen; Yi Wei ;   et al.
2022-09-29
Key structure
Grant 11,456,129 - Wu , et al. September 27, 2
2022-09-27
Key Structure
App 20220293361 - Wu; Ming-Han ;   et al.
2022-09-15
Electronic Device And Manufacturing Method Thereof
App 20220254968 - Sung; Hsin-Hung ;   et al.
2022-08-11
Method Of Attaching Elastic Protective Film On Object
App 20220234342 - Wu; Ming-Han ;   et al.
2022-07-28
Keyboard Device
App 20220214721 - Wu; Ming-Han ;   et al.
2022-07-07
Pixel Array Substrate
App 20220165755 - Hsieh; Hsiu-Chun ;   et al.
2022-05-26
Low-power multi-stage/multi-segment content addressable memory device
Grant 11,342,022 - Deshpande , et al. May 24, 2
2022-05-24
Buried Word Line Of A Dynamic Random Access Memory And Method For Fabricating The Same
App 20220130839 - Chen; Pin-Hong ;   et al.
2022-04-28
Electric Vehicle And Power Converter Thereof
App 20220087077 - TSAI; Sheng-Nan ;   et al.
2022-03-17
Buried word line of a dynamic random access memory and method for fabricating the same
Grant 11,251,187 - Chen , et al. February 15, 2
2022-02-15
Bit line utilized in DRAM
Grant 11,239,241 - Chen , et al. February 1, 2
2022-02-01
Semiconductor structure for preventing row hammering issue in DRAM cell and method for manufacturing the same
Grant 11,239,243 - Tsai , et al. February 1, 2
2022-02-01
Semiconductor device and method for fabricating the same
Grant 11,222,784 - Liu , et al. January 11, 2
2022-01-11
Backup Battery System
App 20210399353 - Low; Shin Yi ;   et al.
2021-12-23
Key structure
Grant 11,177,083 - Wu , et al. November 16, 2
2021-11-16
Keyboard device and key structure thereof
Grant 11,145,475 - Wu , et al. October 12, 2
2021-10-12
Low-height key structure
Grant 11,099,656 - Chen , et al. August 24, 2
2021-08-24
Method of forming a semiconductor structure
Grant 11,088,023 - Chen , et al. August 10, 2
2021-08-10
Display apparatus
Grant 11,067,865 - Su , et al. July 20, 2
2021-07-20
System and method of emulating radio device
Grant 11,044,026 - Liou , et al. June 22, 2
2021-06-22
Low-power Multi-stage/multi-segment Content Addressable Memory Device
App 20210174872 - Deshpande; Chetan ;   et al.
2021-06-10
Method Of Forming Semiconductor Memory Device
App 20210151442 - Chen; Yi-Wei ;   et al.
2021-05-20
Display device and sensing element substrate capable of increasing ratio between photo current and dark current
Grant 11,010,584 - Chen , et al. May 18, 2
2021-05-18
Display Device
App 20210143192 - Chen; Shin-Shueh ;   et al.
2021-05-13
Non-custodial Tool For Building Decentralized Computer Applications
App 20210092108 - Jen; Fei-Yang ;   et al.
2021-03-25
Method of forming semiconductor memory device
Grant 10,943,909 - Chen , et al. March 9, 2
2021-03-09
Keyboard Device
App 20210066005 - Wu; Ming-Han ;   et al.
2021-03-04
Method of forming fin-shaped structure
Grant 10,930,517 - Shen , et al. February 23, 2
2021-02-23
Electronic Device And Fabrication Method Of The Same
App 20210033935 - SU; Chih-Chung ;   et al.
2021-02-04
Method for fabricating semiconductor device
Grant 10,903,328 - Chen , et al. January 26, 2
2021-01-26
Pixel array substrate
Grant 10,903,245 - Su , et al. January 26, 2
2021-01-26
Semiconductor Device And Method For Fabricating The Same
App 20200403077 - Chiu; Chun-Chieh ;   et al.
2020-12-24
Storage Node Contact Structure Of A Memory Device
App 20200350317 - Chen; Pin-Hong ;   et al.
2020-11-05
Pixel Array Substrate
App 20200343269 - Su; Chih-Chung ;   et al.
2020-10-29
Antenna performance evaluation method
Grant 10,812,205 - Lee , et al. October 20, 2
2020-10-20
Method of forming stacked structure of memory
Grant 10,811,272 - Liu , et al. October 20, 2
2020-10-20
Semiconductor device and method for fabricating the same
Grant 10,804,365 - Chiu , et al. October 13, 2
2020-10-13
Storage node contact structure of a memory device and manufacturing methods thereof
Grant 10,756,090 - Chen , et al. A
2020-08-25
Semiconductor Structure For Preventing Row Hammering Issue In Dram Cell And Method For Manufacturing The Same
App 20200266199 - Tsai; Chih-Chieh ;   et al.
2020-08-20
Bit Line Gate Structure Of Dynamic Random Access Memory (dram)
App 20200258889 - A1
2020-08-13
Semiconductor Device And Method For Fabricating The Same
App 20200227264 - Liu; Tzu-Hao ;   et al.
2020-07-16
Method Of Forming Dielectric Layer By Organic Dielectric Layer
App 20200227269 - Liu; Wei-Hsin ;   et al.
2020-07-16
Fabricating method of cobalt silicide layer coupled to contact plug
Grant 10,707,214 - Wu , et al.
2020-07-07
Preparation method of tetraboronic acid compounds, and tetraboronic acid compounds
Grant 10,689,404 - Pan , et al.
2020-06-23
Semiconductor structure for preventing row hammering issue in DRAM cell and method for manufacturing the same
Grant 10,685,964 - Tsai , et al.
2020-06-16
Device Substrate
App 20200183240 - Hsieh; Hsiu-Chun ;   et al.
2020-06-11
Bit line gate structure of dynamic random access memory (DRAM) and forming method thereof
Grant 10,672,774 - Chen , et al.
2020-06-02
Manufacturing method of semiconductor memory device
Grant 10,672,864 - Wu , et al.
2020-06-02
Semiconductor device and method for fabricating the same
Grant 10,651,040 - Liu , et al.
2020-05-12
Method of forming isolation structure
Grant 10,643,883 - Chen , et al.
2020-05-05
Semiconductor structure
Grant 10,600,882 - Hung , et al.
2020-03-24
Keyboard device
Grant 10,586,662 - Wu , et al.
2020-03-10
Method Of Forming Isolation Structure
App 20200075397 - Chen; Po-Chun ;   et al.
2020-03-05
Keyboard Device And Manufacturing Method Thereof
App 20200075271 - Wu; Ming-Han ;   et al.
2020-03-05
Driving Method Of Display Apparatus
App 20200051492 - Chen; Shin-Shueh ;   et al.
2020-02-13
Method for filling patterns
Grant 10,553,576 - Hung , et al. Fe
2020-02-04
Display Device And Sensing Element Substrate
App 20200034599 - Chen; Shin-Shueh ;   et al.
2020-01-30
Keyboard Device And Manufacturing Method Thereof
App 20200020492 - Yang; Che-Wei ;   et al.
2020-01-16
Bit Line Utilized In Dram
App 20200020698 - Chen; Pin-Hong ;   et al.
2020-01-16
Fabricating method of oxide layer within peripheral circuit region
Grant 10,535,664 - Chen , et al. Ja
2020-01-14
Active device array substrate
Grant 10,535,682 - Su , et al. Ja
2020-01-14
Method of forming semiconductor device
Grant 10,529,856 - Lu , et al. J
2020-01-07
Vertical transistor using a through silicon via gate
Grant 10,505,034 - Yang , et al. Dec
2019-12-10
Bit line gate and manufacturing method thereof
Grant 10,497,705 - Chen , et al. De
2019-12-03
Method Of Forming Fin-shaped Structure
App 20190362981 - Shen; Wen-Jiun ;   et al.
2019-11-28
Fabricating Method Of Oxide Layer Within Peripheral Circuit Region
App 20190363093 - Chen; Po-Chun ;   et al.
2019-11-28
Optical Detection Device And Detection Method Thereof
App 20190362121 - Wang; Chih-Hung ;   et al.
2019-11-28
Method of fabricating bit line
Grant 10,475,799 - Chen , et al. Nov
2019-11-12
Method for manufacturing a semiconductor device with a cobalt silicide film
Grant 10,475,900 - Chang , et al. Nov
2019-11-12
Method Of Forming Semiconductor Memory Device
App 20190341388 - Chen; Yi-Wei ;   et al.
2019-11-07
Semiconductor device and method of forming the same
Grant 10,465,287 - Liu , et al. No
2019-11-05
Method of forming oxide layer
Grant 10,453,677 - Huang , et al. Oc
2019-10-22
Bit Line Gate And Manufacturing Method Thereof
App 20190319031 - Chen; Pin-Hong ;   et al.
2019-10-17
Semiconductor Device And Method For Fabricating The Same
App 20190319107 - Chiu; Chun-Chieh ;   et al.
2019-10-17
Semiconductor Device And Method For Fabricating The Same
App 20190318933 - Liu; Tzu-Hao ;   et al.
2019-10-17
Method of fabricating DRAM
Grant 10,446,559 - Wu , et al. Oc
2019-10-15
Keyboard Device
App 20190287744 - Wu; Ming-Han ;   et al.
2019-09-19
Method of forming fin-shaped structure having ladder-shaped cross-sectional profile
Grant 10,418,251 - Shen , et al. Sept
2019-09-17
Method For Fabricating Semiconductor Device
App 20190280095 - Chen; Po-Chun ;   et al.
2019-09-12
Keyboard device and manufacturing method thereof
Grant 10,401,970 - Chen , et al. Sep
2019-09-03
Bit Line Gate Structure Of Dynamic Random Access Memory (dram) And Forming Method Thereof
App 20190252390 - Chen; Yi-Wei ;   et al.
2019-08-15
Semiconductor Device And Method Of Forming The Same
App 20190249297 - Liu; Chih-Chien ;   et al.
2019-08-15
Method for fabricating semiconductor device
Grant 10,374,051 - Lin , et al.
2019-08-06
Method Of Fabricating Bit Line
App 20190237468 - Chen; Pin-Hong ;   et al.
2019-08-01
Semiconductor Memory Device And Manufacturing Method Thereof
App 20190221571 - Liu; Wei-Hsin ;   et al.
2019-07-18
Busbar assembly and cabinet having the same
Grant 10,355,459 - Liang , et al. July 16, 2
2019-07-16
Manufacturing Method Of Semiconductor Memory Device
App 20190206982 - Wu; Tzu-Chin ;   et al.
2019-07-04
Semiconductor memory device and manufacturing method thereof
Grant 10,340,278 - Liu , et al.
2019-07-02
Pixel array substrate
Grant 10,331,002 - Hsieh , et al.
2019-06-25
Method for forming amorphous silicon multuple layer structure
Grant 10,312,080 - Chen , et al.
2019-06-04
Semiconductor memory device
Grant 10,312,242 - Chen , et al.
2019-06-04
Method of forming dynamic random access memory device
Grant 10,290,638 - Chen , et al.
2019-05-14
Semiconductor memory device and manufacturing method thereof
Grant 10,276,650 - Wu , et al.
2019-04-30
Semiconductor device and method for fabricating the same
Grant 10,276,389 - Tsai , et al.
2019-04-30
Pixel structure and related fabrication method
Grant 10,276,600 - I , et al.
2019-04-30
Method for forming semiconductor device
Grant 10,262,895 - Chen , et al.
2019-04-16
Method Of Fabricating Dram
App 20190109139 - Wu; Tzu-Chin ;   et al.
2019-04-11
Semiconductor structure
Grant 10,249,706 - Chang , et al.
2019-04-02
Method for fabricating semiconductor device
Grant 10,236,179 - Yen , et al.
2019-03-19
On-chip through-body-via capacitors and techniques for forming same
Grant 10,229,866 - Chen , et al.
2019-03-12
Buried Word Line Of A Dynamic Random Access Memory And Method For Fabricating The Same
App 20190067296 - Chen; Pin-Hong ;   et al.
2019-02-28
Active Device Array Substrate
App 20190057980 - Su; Chih-Chung ;   et al.
2019-02-21
Method for fabricating buried word line of a dynamic random access memory
Grant 10,211,211 - Chang , et al. Feb
2019-02-19
PCB inter-layer conductive structure applicable to large-current PCB
Grant 10,199,153 - Chen , et al. Fe
2019-02-05
Fabricating Method Of Cobalt Silicide Layer Coupled To Contact Plug
App 20190027479 - Wu; Chia-Chen ;   et al.
2019-01-24
Electrode structure and the corresponding electrical component using the same and the fabrication merhod thereof
Grant 10,186,366 - Lee , et al. Ja
2019-01-22
Semiconductor Memory Device
App 20190013320 - Chen; Tzu-Chieh ;   et al.
2019-01-10
Pre-processing circuit with data-line DC immune clamping and associated method and sensing circuit
Grant 10,176,853 - Hong , et al. J
2019-01-08
Method Of Forming Oxide Layer
App 20180366323 - Huang; Cheng-Hsu ;   et al.
2018-12-20
Spin-on-dielectric Process
App 20180361422 - Lee; Jui-Min ;   et al.
2018-12-20
Through silicon via based photovoltaic cell
Grant 10,158,034 - Phoa , et al. Dec
2018-12-18
Bottom-up epitaxy growth on air-gap buffer
Grant 10,158,022 - Liu , et al. Dec
2018-12-18
Method Of Forming A Semiconductor Structure
App 20180350673 - Chen; Pin-Hong ;   et al.
2018-12-06
Semiconductor Structure For Preventing Row Hammering Issue In Dram Cell And Method For Manufacturing The Same
App 20180337187 - Tsai; Chih-Chieh ;   et al.
2018-11-22
Method Of Forming Semiconductor Device
App 20180331223 - Lu; Man-Ling ;   et al.
2018-11-15
Active device array substrate
Grant 10,128,273 - Su , et al. November 13, 2
2018-11-13
Semiconductor Memory Device And Manufacturing Method Thereof
App 20180308923 - Wu; Tzu-Chin ;   et al.
2018-10-25
Storage Node Contact Structure Of A Memory Device And Manufacturing Methods Thereof
App 20180301458 - Chen; Pin-Hong ;   et al.
2018-10-18
Pixel Array Substrate
App 20180284508 - Hsieh; Hsiu-Chun ;   et al.
2018-10-04
Multi-layer wiring structure, magnetic element and manufacturing method thereof
Grant 10,068,693 - Lin , et al. September 4, 2
2018-09-04
Semiconductor device and method of forming the same
Grant 10,050,146 - Lu , et al. August 14, 2
2018-08-14
Semiconductor structure for preventing row hammering issue in DRAM cell and method for manufacturing the same
Grant 10,043,811 - Tsai , et al. August 7, 2
2018-08-07
Method For Manufacturing A Semiconductor Device With A Cobalt Silicide Film
App 20180212034 - Chang; Kai-Jiun ;   et al.
2018-07-26
Dummy gate technology to avoid shorting circuit
Grant 10,026,726 - Hung , et al. July 17, 2
2018-07-17
Method For Forming Semiconductor Device
App 20180190658 - Chen; Mei-Ling ;   et al.
2018-07-05
Method For Forming Amorphous Silicon Multuple Layer Structure
App 20180190488 - Chen; Mei-Ling ;   et al.
2018-07-05
Bit Line Gate Structure Of Dynamic Random Access Memory (dram) And Forming Method Thereof
App 20180190662 - Wu; Tzu-Chin ;   et al.
2018-07-05
Method For Filling Patterns
App 20180166434 - Hung; Ching-Wen ;   et al.
2018-06-14
Pixel structure having a single side overlapping light shielding pattern
Grant 9,991,289 - I , et al. June 5, 2
2018-06-05
On-chip Through-body-via Capacitors And Techniques For Forming Same
App 20180151474 - CHEN; YI WEI ;   et al.
2018-05-31
Method for fabricating semiconductor device having a patterned metal layer embedded in an interlayer dielectric layer
Grant 9,984,974 - Lin , et al. May 29, 2
2018-05-29
Semiconductor structure and manufacturing method thereof
Grant 9,985,020 - Hung , et al. May 29, 2
2018-05-29
Vertical Transistor Using A Through Silicon Via Gate
App 20180130902 - YANG; Xiaodong ;   et al.
2018-05-10
Method For Fabricating Semiconductor Device Having A Patterned Metal Layer Embedded In An Interlayer Dielectric Layer
App 20180130742 - Lin; Ching-Ling ;   et al.
2018-05-10
Manufacturing method of semiconductor device
Grant 9,966,434 - Hsieh , et al. May 8, 2
2018-05-08
Semiconductor device and method for fabricating the same
Grant 9,953,982 - Chen , et al. April 24, 2
2018-04-24
Hand pump
Grant 9,943,868 - Chen April 17, 2
2018-04-17
Semiconductor device and method for filling patterns
Grant 9,929,134 - Hung , et al. March 27, 2
2018-03-27
Method for fabricating touch display device
Grant 9,921,677 - Chen , et al. March 20, 2
2018-03-20
Pixel Structure And Related Fabrication Method
App 20180076224 - I; Chu-Hsuan ;   et al.
2018-03-15
Hand Pump
App 20180056313 - CHEN; Yi-Wei
2018-03-01
Method for fabricating semiconductor device having a patterned metal layer embedded in an interlayer dielectric layer
Grant 9,899,322 - Lin , et al. February 20, 2
2018-02-20
Semiconductor structure
Grant 9,899,523 - Shen , et al. February 20, 2
2018-02-20
Busbar Assembly And Cabinet Having The Same
App 20180048127 - LIANG; WEI-HAO ;   et al.
2018-02-15
Method for fabricating semiconductor device
Grant 9,859,123 - Wu , et al. January 2, 2
2018-01-02
Method of forming semiconductor structure
Grant 9,859,170 - Hung , et al. January 2, 2
2018-01-02
Semiconductor device
Grant 9,847,393 - Hsu , et al. December 19, 2
2017-12-19
Method Of Forming Fin-shaped Structure
App 20170358455 - Shen; Wen-Jiun ;   et al.
2017-12-14
Bottom-up Epitaxy Growth On Air-gap Buffer
App 20170345938 - Liu; Sheng-Hsu ;   et al.
2017-11-30
Pre-processing Circuit With Data-line Dc Immune Clamping And Associated Method And Sensing Circuit
App 20170345469 - Hong; Chi-Hao ;   et al.
2017-11-30
Semiconductor devices having metal gate and method for manufacturing semiconductor devices having metal gate
Grant 9,831,133 - Lin , et al. November 28, 2
2017-11-28
Manufacturing Method Of Semiconductor Device
App 20170330937 - Hsieh; Ming-Shiou ;   et al.
2017-11-16
Method For Fabricating Semiconductor Device
App 20170301536 - Yen; Fu-Cheng ;   et al.
2017-10-19
Fin-shaped structure and manufacturing method thereof
Grant 9,786,510 - Shen , et al. October 10, 2
2017-10-10
Bottom-up epitaxy growth on air-gap buffer
Grant 9,780,218 - Liu , et al. October 3, 2
2017-10-03
Method for forming semiconductor device
Grant 9,780,199 - Hung , et al. October 3, 2
2017-10-03
Dynamic random access memory device
Grant 9,773,789 - Chen , et al. September 26, 2
2017-09-26
Novel Dummy Gate Technology To Avoid Shorting Circuit
App 20170263597 - Hung; Ching-Wen ;   et al.
2017-09-14
Dynamic random access memory device
Grant 9,754,943 - Chang , et al. September 5, 2
2017-09-05
Pixel Structure
App 20170200741 - I; Chu-Hsuan ;   et al.
2017-07-13
Dummy gate technology to avoid shorting circuit
Grant 9,698,047 - Hung , et al. July 4, 2
2017-07-04
Miniaturized SMD diode package and process for producing the same
Grant 9,691,735 - Lien , et al. June 27, 2
2017-06-27
Miniaturized SMD diode package and process for producing the same
Grant 9,691,736 - Lien , et al. June 27, 2
2017-06-27
Semiconductor device
Grant 9,691,901 - Shen , et al. June 27, 2
2017-06-27
Method Of Forming Semiconductor Structure
App 20170162449 - Hung; Ching-Wen ;   et al.
2017-06-08
Semiconductor device having contact plug in two dielectric layers and two etch stop layers
Grant 9,673,100 - Hung , et al. June 6, 2
2017-06-06
Method for fabricating semiconductor device
Grant 9,673,053 - Lee , et al. June 6, 2
2017-06-06
Through Silicon Via Based Photovoltaic Cell
App 20170155004 - PHOA; KINYIP ;   et al.
2017-06-01
Semiconductor Device
App 20170133470 - Hsu; Yu-Ming ;   et al.
2017-05-11
Light shielding pattern pixel structure having a one side overlapping scan line
Grant 9,647,006 - I , et al. May 9, 2
2017-05-09
Fin field effect transistor device and fabrication method thereof
Grant 9,634,125 - Wu , et al. April 25, 2
2017-04-25
Semiconductor Structure And Manufacturing Method Thereof
App 20170098707 - Hung; Ching-Wen ;   et al.
2017-04-06
Semiconductor Device
App 20170098708 - Shen; Wen-Jiun ;   et al.
2017-04-06
Semiconductor structure and method of forming the same
Grant 9,613,969 - Hung , et al. April 4, 2
2017-04-04
Method For Forming Semiconductor Device
App 20170084721 - Hung; Ching-Wen ;   et al.
2017-03-23
Semiconductor Structure
App 20170077257 - Hung; Ching-Wen ;   et al.
2017-03-16
Active Device Array Substrate
App 20170062477 - Su; Chih-Chung ;   et al.
2017-03-02
Semiconductor Devices Having Metal Gate And Method For Manufacturing Semiconductor Devices Having Metal Gate
App 20170062282 - Lin; Chao-Hung ;   et al.
2017-03-02
Complementary metal oxide semiconductor transistor and fabricating method thereof
Grant 9,577,011 - Chen , et al. February 21, 2
2017-02-21
Method Of Manufacturing A Semiconductor Device Including Forming A Dielectric Layer Around A Patterned Etch Mask
App 20170047251 - Lee; Yi-Hui ;   et al.
2017-02-16
Multi-layer Wiring Structure, Magnetic Element And Manufacturing Method Thereof
App 20170027061 - LIN; Chun-Chih ;   et al.
2017-01-26
Pixel Structure
App 20170025439 - I; Chu-Hsuan ;   et al.
2017-01-26
Method for fabricating contact plug in an interlayer dielectric layer
Grant 9,548,239 - Lu , et al. January 17, 2
2017-01-17
Semiconductor Device And Method For Filling Patterns
App 20170012033 - Hung; Ching-Wen ;   et al.
2017-01-12
Method For Fabricating Semiconductor Device Having A Patterned Metal Layer Embedded In An Interlayer Dielectric Layer
App 20160379931 - Lin; Ching-Ling ;   et al.
2016-12-29
Semiconductor devices having metal gate and method for manufacturing semiconductor devices having metal gate
Grant 9,530,778 - Lin , et al. December 27, 2
2016-12-27
Method for fabricating a semiconductor device
Grant 9,530,871 - Tsai , et al. December 27, 2
2016-12-27
Novel Dummy Gate Technology to Avoid Shorting Circuit
App 20160372476 - Hung; Ching-Wen ;   et al.
2016-12-22
Semiconductor Structure And Method Of Forming The Same
App 20160351575 - Hung; Ching-Wen ;   et al.
2016-12-01
Method for manufacturing CMOS transistor
Grant 9,502,305 - Chen , et al. November 22, 2
2016-11-22
Semiconductor device and method for fabricating the same
Grant 9,496,396 - Hsu , et al. November 15, 2
2016-11-15
Semiconductor device having a patterned metal layer embedded in an interlayer dielectric layer
Grant 9,466,521 - Lin , et al. October 11, 2
2016-10-11
Semiconductor device and method for fabricating the same
Grant 9,443,757 - Tsai , et al. September 13, 2
2016-09-13
Multi-function miniaturized surface-mount device and process for producing the same
Grant 9,443,825 - Lien , et al. September 13, 2
2016-09-13
Manufacturing Method Of Semiconductor Structure
App 20160260613 - Chen; Yi-Wei ;   et al.
2016-09-08
Semiconductor Structure Having A Center Dummy Region
App 20160240540 - Hung; Ching-Wen ;   et al.
2016-08-18
Multi-function Miniaturized Surface-mount Device And Process For Producing The Same
App 20160240510 - LIEN; Ching-Hohn ;   et al.
2016-08-18
Semiconductor structure having a center dummy region
Grant 9,412,745 - Hung , et al. August 9, 2
2016-08-09
Method For Fabricating Semiconductor Device
App 20160225662 - Lu; Chia-Lin ;   et al.
2016-08-04
Semiconductor device structure
Grant 9,401,358 - Hung , et al. July 26, 2
2016-07-26
Method of manufacturing a semiconductor device
Grant 9,401,417 - Chen , et al. July 26, 2
2016-07-26
Semiconductor structure having a metal gate with side wall spacers
Grant 9,397,189 - Chen , et al. July 19, 2
2016-07-19
Fabrication method of semiconductor structure
Grant 9,397,190 - Wu , et al. July 19, 2
2016-07-19
Semiconductor Device Structure
App 20160204103 - Hung; Ching-Wen ;   et al.
2016-07-14
Power line filter for multidimensional integrated circuits
Grant 9,391,010 - Huang , et al. July 12, 2
2016-07-12
FINFET structure
Grant 9,385,191 - Wu , et al. July 5, 2
2016-07-05
Semiconductor Structure
App 20160163797 - Shen; Wen-Jiun ;   et al.
2016-06-09
Fin Field Effect Transistor Device And Fabrication Method Thereof
App 20160163837 - WU; YEN-LIANG ;   et al.
2016-06-09
Pixel structure of display panel
Grant 9,360,727 - Su , et al. June 7, 2
2016-06-07
Finfet Structure
App 20160148998 - WU; YEN-LIANG ;   et al.
2016-05-26
Pixel Structure Of Display Panel
App 20160147124 - Su; Chih-Chung ;   et al.
2016-05-26
Method For Fabricating Semiconductor Device
App 20160148816 - Lee; Rung-Yuan ;   et al.
2016-05-26
Method for fabricating semiconductor device
Grant 9,324,610 - Hung , et al. April 26, 2
2016-04-26
Method For Cleaning Contact Hole And Forming Contact Plug Therein
App 20160104612 - LEE; YI-HUI ;   et al.
2016-04-14
Method For Forming Semiconductor Device
App 20160104646 - Hung; Ching-Wen ;   et al.
2016-04-14
Semiconductor Device And Method For Fabricating The Same
App 20160104645 - Hung; Ching-Wen ;   et al.
2016-04-14
Method for cleaning contact hole and forming contact plug therein
Grant 9,312,121 - Lee , et al. April 12, 2
2016-04-12
Fin-shaped Structure And Manufacturing Method Thereof
App 20160071844 - Shen; Wen-Jiun ;   et al.
2016-03-10
Semiconductor Structure And Process Thereof
App 20160071800 - Hung; Ching-Wen ;   et al.
2016-03-10
Semiconductor Device And Method For Fabricating The Same
App 20160064327 - Lin; Ching-Ling ;   et al.
2016-03-03
Pcb Inter-layer Conductive Structure Applicable To Large-current Pcb
App 20160055958 - CHEN; Yi-Wei ;   et al.
2016-02-25
Fin Field Effect Transistor Device And Fabrication Method Thereof
App 20160049467 - WU; YEN-LIANG ;   et al.
2016-02-18
Mos Transistor And Semiconductor Process For Forming Epitaxial Structure
App 20160049496 - Lu; Man-Ling ;   et al.
2016-02-18
Metal gate structure
Grant 9,263,540 - Lin , et al. February 16, 2
2016-02-16
Semiconductor device and method for fabricating the same
Grant 9,263,392 - Lin , et al. February 16, 2
2016-02-16
Miniaturized Smd Diode Package And Process For Producing The Same
App 20160035697 - LIEN; Ching-Hohn ;   et al.
2016-02-04
Metal Gate Structure
App 20160027892 - Lin; Ching-Ling ;   et al.
2016-01-28
Semiconductor Device And Method Of Fabricating The Same
App 20160020323 - Wu; Yen-Liang ;   et al.
2016-01-21
Semiconductor Device And Method Of Forming The Same
App 20160020110 - Lu; Man-Ling ;   et al.
2016-01-21
Method For Fabricating Semiconductor Device
App 20160013104 - Hung; Ching-Wen ;   et al.
2016-01-14
Method for fabricating semiconductor device
Grant 9,230,816 - Hung , et al. January 5, 2
2016-01-05
Semiconductor device and method of fabricating the same
Grant 9,224,864 - Wu , et al. December 29, 2
2015-12-29
Fabrication Method Of Semiconductor Structure
App 20150364568 - Wu; Yen-Liang ;   et al.
2015-12-17
Semiconductor Device And Method For Fabricating The Same
App 20150357436 - Shen; Wen-Jiun ;   et al.
2015-12-10
Method of fabricating metal gate structure
Grant 9,209,273 - Lin , et al. December 8, 2
2015-12-08
Device and method for indirect modulation of detection environment
Grant 9,188,585 - Kavusi , et al. November 17, 2
2015-11-17
Electrode Structure And The Corresponding Electrical Component Using The Same And The Fabrication Merhod Thereof
App 20150325364 - LEE; CHIH-SUN ;   et al.
2015-11-12
Method Of Manufacturing A Semiconductor Device
App 20150263137 - Chen; Yi-Wei ;   et al.
2015-09-17
Complementary Metal Oxide Semiconductor Transistor And Fabricating Method Thereof
App 20150255516 - Chen; Chung-Tao ;   et al.
2015-09-10
Semiconductor Structure Having A Metal Gate With Side Wall Spacers
App 20150249142 - Chen; Yi-Wei ;   et al.
2015-09-03
Method of fabricating a MOS device using a stress-generating material
Grant 9,105,651 - Chang , et al. August 11, 2
2015-08-11
Miniaturized Smd Diode Package And Prscess For Producing The Same
App 20150200147 - LIEN; Ching-Hohn ;   et al.
2015-07-16
Complementary metal oxide semiconductor transistor and fabricating method thereof
Grant 9,082,792 - Chen , et al. July 14, 2
2015-07-14
Semiconductor device and manufacturing method of the same
Grant 9,076,759 - Chen , et al. July 7, 2
2015-07-07
Semiconductor structure having a metal gate with side wall spacers
Grant 9,048,254 - Chen , et al. June 2, 2
2015-06-02
Method Of Fabricating Mos Device
App 20150017777 - Chang; Tsung-Hung ;   et al.
2015-01-15
Method of removing oxides
Grant 8,883,650 - Lai , et al. November 11, 2
2014-11-11
Abnormal behavior detection system and method using automatic classification of multiple features
Grant 8,885,929 - Ni , et al. November 11, 2
2014-11-11
Hybrid thin film transistor, manufacturing method thereof and display panel having the same
Grant 8,829,511 - Hsieh , et al. September 9, 2
2014-09-09
Electrical Contact
App 20140225262 - Tseng; I-Ming ;   et al.
2014-08-14
Method of manufacturing semiconductor device having metal gates
Grant 8,802,524 - Liao , et al. August 12, 2
2014-08-12
Semiconductor Device And Manufacturing Method Of The Same
App 20140191298 - Chen; Yi-Wei ;   et al.
2014-07-10
Method of fabricating electrical contact
Grant 8,772,159 - Tseng , et al. July 8, 2
2014-07-08
Pixel structure and manufacturing method thereof
Grant 8,766,270 - Hsieh , et al. July 1, 2
2014-07-01
Semiconductor Structure
App 20140131804 - Chen; Yi-Wei ;   et al.
2014-05-15
Complementary Metal Oxide Semiconductor Transistor And Fabricating Method Thereof
App 20140087525 - Chen; Chung-Tao ;   et al.
2014-03-27
Complementary metal oxide semiconductor transistor and fabricating method thereof
Grant 8,659,092 - Chen , et al. February 25, 2
2014-02-25
Method For Manufacturing Cmos Transistor
App 20140038374 - Chen; Yi-Wei ;   et al.
2014-02-06
Cleaning method of semiconductor manufacturing process
Grant 8,641,828 - Chen , et al. February 4, 2
2014-02-04
Power Line Filter For Multidimensional Integrated Circuits
App 20130257564 - HUANG; Bo-Jr ;   et al.
2013-10-03
System and method for observing threshold voltage variations
Grant 8,547,131 - Wang , et al. October 1, 2
2013-10-01
Structure Of Electrical Contact And Fabrication Method Thereof
App 20130193577 - Tseng; I-Ming ;   et al.
2013-08-01
Cleaning Method Of Semiconductor Manufacturing Process
App 20130014779 - CHEN; Yi-Wei ;   et al.
2013-01-17
Semiconductor device
Grant 8,344,465 - Chen , et al. January 1, 2
2013-01-01
Hybrid Thin Film Transistor, Manufacturing Method Thereof And Display Panel Having The Same
App 20120305910 - Hsieh; Hsiu-Chun ;   et al.
2012-12-06
Metal Gate Structure And Manufacturing Method Thereof
App 20120256275 - Huang; Hsin-Fu ;   et al.
2012-10-11
Method of Manufacturing Semiconductor Device Having Metal Gates
App 20120244669 - Liao; Po-Jui ;   et al.
2012-09-27
System and method for characterizing process variations
Grant 8,258,883 - Chen , et al. September 4, 2
2012-09-04
Dual-function padlock
Grant 8,225,630 - Chen , et al. July 24, 2
2012-07-24
Semiconductor device
App 20120181635 - Chen; Yi-Wei ;   et al.
2012-07-19
Method for predicting a port number of a NAT equipment based on results of inquiring the STUN server twice
Grant 8,204,066 - Chen , et al. June 19, 2
2012-06-19
Automatic Traffic Violation Detection System And Method Of The Same
App 20120148092 - NI; SZE-YAO ;   et al.
2012-06-14
Abnormal Behavior Detection System And Method Using Automatic Classification Of Multiple Features
App 20120134532 - NI; SZE-YAO ;   et al.
2012-05-31
Semiconductor device and method of making the same
Grant 8,163,607 - Chen , et al. April 24, 2
2012-04-24
Method Of Forming Silicide For Contact Plugs
App 20120088345 - Chen; Yi-Wei ;   et al.
2012-04-12
Pixel Structure And Manufacturing Method Thereof
App 20120049197 - Hsieh; Hsiu-Chun ;   et al.
2012-03-01
Complementary Metal Oxide Semiconductor Transistor And Fabricating Method Thereof
App 20120025320 - Chen; Chung-Tao ;   et al.
2012-02-02
Method of fabricating complementary metal-oxide-semiconductor (CMOS) Device
Grant 8,067,281 - Chen , et al. November 29, 2
2011-11-29
Photo detector and method for forming thereof
Grant 8,063,464 - Weng , et al. November 22, 2
2011-11-22
Device and Method for Indirect Modulation of Detection Environment
App 20110278258 - Kavusi; Sam ;   et al.
2011-11-17
Semiconductor device and method of making the same
App 20110266596 - Chen; Yi-Wei ;   et al.
2011-11-03
Light emitting diode
Grant D647,491 - Chen , et al. October 25, 2
2011-10-25
Light emitting diode
Grant D646,647 - Chen , et al. October 11, 2
2011-10-11
Light emitting diode
Grant D646,644 - Chen , et al. October 11, 2
2011-10-11
Light emitting diode
Grant D646,646 - Chen , et al. October 11, 2
2011-10-11
Light emitting diode
Grant D646,645 - Chen , et al. October 11, 2
2011-10-11
Semiconductor Structure Haivng A Metal Gate And Method Of Forming The Same
App 20110127589 - Chen; Yi-Wei ;   et al.
2011-06-02
Method for fabricating metal silicide
Grant 7,943,512 - Chen , et al. May 17, 2
2011-05-17
Semiconductor process
Grant 7,892,935 - Chen , et al. February 22, 2
2011-02-22
Method of removing material layer and remnant metal
Grant 7,884,028 - Chen , et al. February 8, 2
2011-02-08
Fabrication method of pixel structure
Grant 7,855,112 - Chen , et al. December 21, 2
2010-12-21
System and Method for Observing Threshold Voltage Variations
App 20100253382 - Wang; Chung-Hsing ;   et al.
2010-10-07
Method for fabricating MOS transistors
Grant 7,803,702 - Lai , et al. September 28, 2
2010-09-28
Fabrication Method Of Pixel Structure
App 20100233859 - Chen; Ming-Yan ;   et al.
2010-09-16
Method for fabricating semiconductor MOS device
Grant 7,785,972 - Chang , et al. August 31, 2
2010-08-31
Pixel structure
Grant 7,763,942 - Chen , et al. July 27, 2
2010-07-27
System and Method for Characterizing Process Variations
App 20100176890 - Chen; Yi-Wei ;   et al.
2010-07-15
Semiconductor device and manufacturing method thereof
Grant 7,674,658 - Li , et al. March 9, 2
2010-03-09
Method of removing particles from wafer
Grant 7,670,438 - Chen , et al. March 2, 2
2010-03-02
Method For Fabricating Mos Transistors
App 20100035401 - Lai; Kuo-Chih ;   et al.
2010-02-11
Semiconductor device
Grant 7,649,263 - Chang , et al. January 19, 2
2010-01-19
Cmos Transistor And The Method For Manufacturing The Same
App 20100001317 - Chen; Yi-Wei ;   et al.
2010-01-07
Method for predicting a port number of a NAT equipment based on results of inquiring the STUN server twice
App 20090323559 - Chen; Yi-Wei ;   et al.
2009-12-31
Photo Detector And Method For Forming Thereof
App 20090302330 - Weng; Chien-Sen ;   et al.
2009-12-10
Fabrication method of semiconductor device
Grant 7,595,264 - Chang , et al. September 29, 2
2009-09-29
Photo detector and method for forming thereof
Grant 7,595,541 - Weng , et al. September 29, 2
2009-09-29
Method of fabricating nickel silicide
Grant 7,572,722 - Chen , et al. August 11, 2
2009-08-11
Method of removing oxides
App 20090191714 - Lai; Kuo-Chih ;   et al.
2009-07-30
Method for forming metal silicide layer
Grant 7,553,762 - Hung , et al. June 30, 2
2009-06-30
Method For Fabricating Metal Silicide
App 20090155999 - Chen; Yi-Wei ;   et al.
2009-06-18
Method Of Removing Particles From Wafer
App 20090090395 - Chen; Yi-Wei ;   et al.
2009-04-09
Method Of Forming Electrical Connection Structure
App 20090061623 - Chang; Yu-Lan ;   et al.
2009-03-05
Pixel Structure And Fabrication Method Thereof
App 20090001377 - Chen; Ming-Yan ;   et al.
2009-01-01
Method And Apparatus For Fabricating High Tensile Stress Film
App 20080305600 - Liao; Hsiu-Lien ;   et al.
2008-12-11
Pixel structure and method for forming the same
App 20080296581 - Chao; Chih-Wei ;   et al.
2008-12-04
Semiconductor Device And Manufacturing Method Thereof
App 20080283923 - Li; Chen-Yueh ;   et al.
2008-11-20
Photo Detector And Method For Forming Thereof
App 20080284341 - Weng; Chien-Sen ;   et al.
2008-11-20
Method Of Removing Material Layer And Remnant Metal
App 20080254640 - Chen; Yi-Wei ;   et al.
2008-10-16
Silicidation Process For Mos Transistor And Transistor Structure
App 20080224232 - Hsieh; Chao-Ching ;   et al.
2008-09-18
Semiconductor Device And Manufacturing Method Thereof
App 20080203395 - Chao; Chih-Wei ;   et al.
2008-08-28
Method For Forming Metal Silicide Layer
App 20080194100 - Hung; Tzung-Yu ;   et al.
2008-08-14
Method For Cleaning Salicide
App 20080171449 - Hsieh; Chao-Ching ;   et al.
2008-07-17
Method of forming a silicide
Grant 7,390,754 - Chang , et al. June 24, 2
2008-06-24
Semiconductor device having nickel silicide and method of fabricating nickel silicide
Grant 7,385,294 - Chen , et al. June 10, 2
2008-06-10
Fabrication Method Of Semiconductor Device
App 20080132063 - Chang; Yu-Lan ;   et al.
2008-06-05
Semiconductor Process
App 20080132023 - Chen; Yi-Wei ;   et al.
2008-06-05
Semiconductor Device
App 20080067684 - Chang; Yu-Lan ;   et al.
2008-03-20
Fabrication method of semiconductor device
Grant 7,344,978 - Chang , et al. March 18, 2
2008-03-18
Method For Fabricating Semiconductor Mos Device
App 20080038887 - Chang; Yu-Lan ;   et al.
2008-02-14
Method Of Stripping Remnant Metal
App 20080020587 - Chang; Chun-Chieh ;   et al.
2008-01-24
Method for fabricating metal silicide
App 20080009134 - Hung; Tsung-Yu ;   et al.
2008-01-10
Semiconductor Device And Method Of Fabricating A Ltps Film
App 20070290210 - Chang; Chih-Hsiung ;   et al.
2007-12-20
Method Of Fabricating Nickel Silicide
App 20070167009 - Chen; Yi-Wei ;   et al.
2007-07-19
Method of fabricating metal silicide layer
Grant 7,229,920 - Chen , et al. June 12, 2
2007-06-12
Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
App 20070087573 - Chiang; Yi-Yiing ;   et al.
2007-04-19
Method For Forming Silicide Layer
App 20070082494 - Chen; Yi-Wei ;   et al.
2007-04-12
Salicide process
App 20070059878 - Chang; Yu-Lan ;   et al.
2007-03-15
Semiconductor Device Having Nickel Silicide And Method Of Fabricating Nickel Silicide
App 20070054481 - Chen; Yi-Wei ;   et al.
2007-03-08
Method Of Forming A Nickel Platinum Silicide
App 20070020925 - Hsieh; Chao-Ching ;   et al.
2007-01-25
Semiconductor Device And Fabrication Method Thereof
App 20060284263 - Chang; Yu-Lan ;   et al.
2006-12-21
Method for manufacturing polysilicon layer and method for manufacturing thin film transistor thereby
Grant 7,081,400 - Chen , et al. July 25, 2
2006-07-25
Method of fabricating metal silicide layer
App 20060154474 - Chen; Yi-Wei ;   et al.
2006-07-13
Semiconductor Device And Method Of Fabricating A Ltps Film
App 20060060848 - Chang; Chih-Hsiung ;   et al.
2006-03-23
Semiconductor Device And Method Of Fabricating A Low Temperature Poly-silicon Layer
App 20060043367 - Chang; Mao-Yi ;   et al.
2006-03-02
Method for manufacturing polysilicon layer and a TFT using the same
App 20060009013 - Chen; Yi-Wei ;   et al.
2006-01-12

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed