loadpatents
name:-0.17858290672302
name:-0.10653305053711
name:-0.064076900482178
CHEN; YEN-YU Patent Filings

CHEN; YEN-YU

Patent Applications and Registrations

Patent applications and USPTO patent grants for CHEN; YEN-YU.The latest application filed is for "control system for wafer transport vehicle and method for operating the same".

Company Profile
60.94.172
  • CHEN; YEN-YU - TAICHUNG CITY TW
  • Chen; Yen-Yu - Taichung TW
  • Chen; Yen-Yu - Kaohsiung TW
  • Chen; Yen-Yu - Taoyuan TW
  • Chen; Yen-Yu - Taichung City 407 TW
  • Chen; Yen-Yu - Taipei TW
  • CHEN; Yen-Yu - Hsinchu TW
  • CHEN; Yen Yu - Hukou Township TW
  • CHEN; Yen-Yu - Kaohsiung City TW
  • Chen; Yen-Yu - Taipei City TW
  • CHEN; Yen-Yu - Hslnchu TW
  • Chen; Yen-Yu - New Taipei TW
  • Chen; Yen-Yu - New Taipei City TW
  • - TAICHUNG CITY TW
  • Chen; Yen-Yu - Tainan TW
  • Chen; Yen-Yu - Tainan City TW
  • CHEN; Yen-Yu - Taoyuan Hsien TW
  • CHEN; YEN YU - TAOYUAN CITY TW
  • CHEN; Yen-Yu - Changhua City TW
  • CHEN; Yen-Yu - Changhua County TW
  • Chen; Yen-Yu - Chung Ho City Taipei Hsien
  • Chen; Yen-Yu - Hsin-Chu TW
  • Chen; Yen Yu - Hsinchu City TW
  • Chen; Yen-Yu - Kwei Shan Township TW
  • Chen; Yen-Yu - Chung Ho TW
  • Chen; Yen-Yu - Santa Clara CA
  • Chen; Yen-Yu - Pingtung County TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Control System For Wafer Transport Vehicle And Method For Operating The Same
App 20220310430 - CHAN; KAI PING ;   et al.
2022-09-29
Dynamic Displacement Error Compensation System
App 20220307869 - CHEN; Kai-Ti ;   et al.
2022-09-29
Particle Remover And Method
App 20220297037 - Cheng; Wen-Hao ;   et al.
2022-09-22
Dual Metal Via for Contact Resistance Reduction
App 20220293770 - Cheng; Chung-Liang ;   et al.
2022-09-15
Image sensor with overlap of backside trench isolation structure and vertical transfer gate
Grant 11,437,420 - Hung , et al. September 6, 2
2022-09-06
Threshold Voltage Tuning for Fin-Based Integrated Circuit Device
App 20220254687 - Cheng; Chung-Liang ;   et al.
2022-08-11
Vertical gate field effect transistor
Grant 11,404,460 - Chen , et al. August 2, 2
2022-08-02
Display screen or portion thereof with graphical user interface
Grant D958,811 - Lee , et al. July 26, 2
2022-07-26
Electromagnetic module for physical vapor deposition
Grant 11,396,695 - Chu , et al. July 26, 2
2022-07-26
Method And Structure For Metal Gates
App 20220230921 - CHEN; TUNG-HUANG ;   et al.
2022-07-21
Redistribution Layer Features
App 20220223536 - Wang; Wen-Chun ;   et al.
2022-07-14
Resistive Memory Cell Using An Interfacial Transition Metal Compound Layer And Method Of Forming The Same
App 20220223788 - CHENG; Wen-Hao ;   et al.
2022-07-14
Metrology method in wafer transportation
Grant 11,387,123 - Huang , et al. July 12, 2
2022-07-12
Gate structures for semiconductor devices
Grant 11,374,090 - Chen , et al. June 28, 2
2022-06-28
Buckling structure for bottle cage
Grant 11,363,898 - Chen June 21, 2
2022-06-21
Metal Loss Prevention In Conductive Structures
App 20220173036 - Chen; Yen-Yu ;   et al.
2022-06-02
Dual metal via for contact resistance reduction
Grant 11,349,015 - Cheng , et al. May 31, 2
2022-05-31
Emirror adaptable stitching
Grant 11,341,614 - Chen , et al. May 24, 2
2022-05-24
System And Method For Detecting Abnormality Of Thin-film Deposition Process
App 20220154330 - Cheng; Wen-Hao ;   et al.
2022-05-19
Display screen or portion thereof with graphical user interface
Grant D951,280 - Lee , et al. May 10, 2
2022-05-10
Threshold voltage tuning for fin-based integrated circuit device
Grant 11,322,410 - Cheng , et al. May 3, 2
2022-05-03
Semiconductor Substrate Boat And Methods Of Using The Same
App 20220102175 - CHEN; Tung-Huang ;   et al.
2022-03-31
Manual Labeling Device
App 20220097891 - CHEN; Yen Yu ;   et al.
2022-03-31
Photoresist For Semiconductor Fabrication
App 20220100086 - Liu; Chih-Cheng ;   et al.
2022-03-31
Photoresist For Semiconductor Fabrication
App 20220100087 - Liu; Chih-Cheng ;   et al.
2022-03-31
Semiconductor Device Having Work Function Metal Stack
App 20220102147 - CHEN; Yen-Yu ;   et al.
2022-03-31
In-Situ Deposition and Densification Treatment for Metal-Comprising Resist Layer
App 20220100088 - Kuo; Yi-Chen ;   et al.
2022-03-31
Apparatus And Method For Manufacturing Metal Gate Structures
App 20220081759 - Lee; Chen-Yu ;   et al.
2022-03-17
System And Method For Heating Semiconductor Wafers
App 20220059375 - CHENG; Wen-Hao ;   et al.
2022-02-24
Metal loss prevention in conductive structures
Grant 11,257,755 - Chen , et al. February 22, 2
2022-02-22
Deposition System And Method
App 20220051952 - CHENG; Wen-Hao ;   et al.
2022-02-17
Passivated and Faceted for Fin Field Effect Transistor
App 20220045214 - Chen; Yen-Yu ;   et al.
2022-02-10
Photoresist Layer Outgassing Prevention
App 20220028684 - CHEN; Yen-Yu ;   et al.
2022-01-27
Head Of A Tag Device
App 20220002020 - YANG; Ching-Chia ;   et al.
2022-01-06
Metal Loss Prevention In Conductive Structures
App 20210391255 - CHEN; Yen -Yu ;   et al.
2021-12-16
Treatment System And Method
App 20210388524 - LIN; Zong-Kun ;   et al.
2021-12-16
Robot Blade Having Multiple Sensors For Multiple Different Alignment Tasks
App 20210391206 - CHENG; Wen-Hao ;   et al.
2021-12-16
Extended Via Semiconductor Structure, Device And Method
App 20210391251 - Lin; Hung Hsun ;   et al.
2021-12-16
Device having work function metal stack and method of forming the same
Grant 11,201,059 - Chen , et al. December 14, 2
2021-12-14
Source/drain Isolation Structures For Leakage Prevention
App 20210367032 - CHEN; Yen-Yu ;   et al.
2021-11-25
Display screen or portion thereof with graphical user interface
Grant D936,664 - Chen , et al. November 23, 2
2021-11-23
Semiconductor device with adhesion layer
Grant 11,177,365 - Bih , et al. November 16, 2
2021-11-16
Semiconductor Device And Method Of Forming The Same
App 20210351143 - CHENG; Wen-Hao ;   et al.
2021-11-11
Face recognition method and computer system thereof
Grant 11,170,252 - Chen November 9, 2
2021-11-09
Semiconductor device with adhesion layer and method of making
Grant 11,164,957 - Bih , et al. November 2, 2
2021-11-02
Passivated and faceted for fin field effect transistor
Grant 11,158,743 - Chen , et al. October 26, 2
2021-10-26
Mathematical accelerator for artificial intelligence applications
Grant 11,144,282 - Chen , et al. October 12, 2
2021-10-12
Photoresist Layer Surface Treatment, Cap Layer, And Method Of Forming Photoresist Pattern
App 20210305040 - KUO; Yi-Chen ;   et al.
2021-09-30
Method Of Manufacturing A Semiconductor Device
App 20210305047 - WEI; Jia-Lin ;   et al.
2021-09-30
Barrier Layer For Metal Insulator Metal Capacitors
App 20210305356 - CHENG; Anhao ;   et al.
2021-09-30
Method Of Manufacturing A Semiconductor Device
App 20210302839 - LIU; Chih-Cheng ;   et al.
2021-09-30
Method Of Manufacturing A Semiconductor Device
App 20210302833 - WENG; Ming-Hui ;   et al.
2021-09-30
Reducing Metal Gate Overhang By Forming A Top-Wide Bottom-Narrow Dummy Gate Electrode
App 20210280692 - Bih; Shih Wei ;   et al.
2021-09-09
Automated Material Handling Systems
App 20210242057 - LEE; Yen Le ;   et al.
2021-08-05
Pvd Target Design And Semiconductor Devices Formed Using The Same
App 20210238731 - WANG; Chia-Hsi ;   et al.
2021-08-05
Novel Structures for Tuning Threshold Voltage
App 20210242092 - Chen; Yen-Yu ;   et al.
2021-08-05
Display screen or portion thereof with graphical user interface
Grant D926,777 - Lee , et al. August 3, 2
2021-08-03
Apparatus for fabricating a semiconductor device with target sputtering and target sputtering method for fabricating the semiconductor device
Grant 11,081,341 - Bih , et al. August 3, 2
2021-08-03
Semiconductor device and method of forming the same
Grant 11,075,179 - Cheng , et al. July 27, 2
2021-07-27
Image Sensor With Overlap Of Backside Trench Isolation Structure And Vertical Transfer Gate
App 20210210532 - Hung; Feng-Chi ;   et al.
2021-07-08
Vertical Gate Field Effect Transistor
App 20210210534 - Chen; Chun-Yuan ;   et al.
2021-07-08
Display screen with graphical user interface
Grant D923,653 - Lee , et al. June 29, 2
2021-06-29
System And Method For Monitoring And Performing Thin Film Deposition
App 20210189561 - CHENG; Wen-Hao ;   et al.
2021-06-24
Integrated Circuits with Doped Gate Dielectrics
App 20210175076 - Cheng; Chung-Liang ;   et al.
2021-06-10
Redistribution Layer Metallic Structure and Method
App 20210159196 - Bih; Shih Wei ;   et al.
2021-05-27
Adjustable Cage For Various Bottle Sizes
App 20210155310 - TAN; Tell ;   et al.
2021-05-27
Interconnect Structure And Method Of Forming The Same
App 20210143098 - CHENG; Chung-Liang ;   et al.
2021-05-13
Gate Structures For Semiconductor Devices
App 20210134951 - CHEN; Yen-Yu ;   et al.
2021-05-06
Multi-functional Shutter Disk For Thin Film Deposition Chamber
App 20210115554 - Cheng; Wen-Hao ;   et al.
2021-04-22
Wafer Holder For Film Deposition Chamber
App 20210118700 - Chu; Hsuan-Chih ;   et al.
2021-04-22
Bottom-up Formation of Contact Plugs
App 20210090948 - Chen; Yen-Yu ;   et al.
2021-03-25
Face Recognition Method And Computer System Thereof
App 20210081703 - Chen; Yen-Yu
2021-03-18
Electromagnetic Module for Physical Vapor Deposition
App 20210071295 - Chu; Hsuan-Chih ;   et al.
2021-03-11
Integrated circuits with doped gate dielectrics
Grant 10,930,495 - Cheng , et al. February 23, 2
2021-02-23
Interconnect structure with insulation layer and method of forming the same
Grant 10,923,416 - Cheng , et al. February 16, 2
2021-02-16
Redistribution layer metallic structure and method
Grant 10,916,517 - Bih , et al. February 9, 2
2021-02-09
Apparatus For Preventing Backside Peeling Defects On Semiconductor Wafers
App 20200381287 - CHEN; Yen-Yu ;   et al.
2020-12-03
Electromagnetic module for physical vapor deposition
Grant 10,844,477 - Chu , et al. November 24, 2
2020-11-24
Threshold voltage tuning for fin-based integrated circuit device
Grant 10,790,196 - Cheng , et al. September 29, 2
2020-09-29
Dual Metal Via for Contact Resistance Reduction
App 20200273966 - Cheng; Chung-Liang ;   et al.
2020-08-27
Material delivery system and method
Grant 10,752,995 - Liu , et al. A
2020-08-25
Apparatus and system for preventing backside peeling defects on semiconductor wafers
Grant 10,748,806 - Chen , et al. A
2020-08-18
Metrology Method In Wafer Transportation
App 20200251365 - Kind Code
2020-08-06
Mathematical Accelerator for Artificial Intelligence Applications
App 20200225913 - Chen; Yen-Yu ;   et al.
2020-07-16
Casing assembly and electronic device having the same
Grant 10,712,781 - Lin , et al.
2020-07-14
Driving device for a hub assembly
Grant 10,696,356 - Chen , et al. June 30, 2
2020-06-30
Redistribution layer metallic structure and method
Grant 10,658,315 - Bih , et al.
2020-05-19
Dual metal via for contact resistance reduction
Grant 10,651,292 - Cheng , et al.
2020-05-12
Metrology method in wafer transportation
Grant 10,651,066 - Huang , et al.
2020-05-12
Redistribution Layer Metallic Structure and Method
App 20200144208 - Bih; Shih Wei ;   et al.
2020-05-07
Integrated Circuits with Doped Gate Dielectrics
App 20200126789 - Cheng; Chung-Liang ;   et al.
2020-04-23
Physical Vapor Deposition Apparatus And Method Thereof
App 20200105511 - WANG; Chia-Hsi ;   et al.
2020-04-02
Device Having Work Function Metal Stack And Method Of Forming The Same
App 20200105533 - CHEN; Yen-Yu ;   et al.
2020-04-02
Semiconductor Device With Adhesion Layer And Method Of Making
App 20200098891 - BIH; Shih Wei ;   et al.
2020-03-26
Semiconductor Device With Adhesion Layer
App 20200098892 - BIH; Shih Wei ;   et al.
2020-03-26
Semiconductor Device And Method Of Forming The Same
App 20200075518 - CHENG; Wen-Hao ;   et al.
2020-03-05
Apparatus For Fabricating A Semiconductor Device With Target Sputtering And Target Sputtering Method For Fabricating The Semiconductor Device
App 20200035487A1 -
2020-01-30
Integrated circuits with doped gate dielectrics
Grant 10,522,344 - Cheng , et al. Dec
2019-12-31
Electronic device and unlocking method therefor
Grant 10,503,885 - Chen , et al. Dec
2019-12-10
Device having work function metal stack and method of forming the same
Grant 10,497,571 - Chen , et al. De
2019-12-03
Passivated and Faceted for Fin Field Effect Transistor
App 20190363191 - Chen; Yen-Yu ;   et al.
2019-11-28
Method of fabricating semiconductor device with adhesion layer
Grant 10,490,649 - Bih , et al. Nov
2019-11-26
Device Having Work Function Metal Stack And Method Of Forming The Same
App 20190333769 - CHEN; Yen-Yu ;   et al.
2019-10-31
Radiator Fan
App 20190316598 - Eisen; Dennis ;   et al.
2019-10-17
Reducing metal gate overhang by forming a top-wide bottom-narrow dummy gate electrode
Grant 10,446,662 - Bih , et al. Oc
2019-10-15
Redistribution Layer Metallic Structure and Method
App 20190304939 - Bih; Shih Wei ;   et al.
2019-10-03
Receiving device
Grant 10,426,239 - Yang , et al. October 1, 2
2019-10-01
Receiving device and electronic device with the same
Grant 10,408,246 - Hu , et al. Sept
2019-09-10
Dual Metal Via for Contact Resistance Reduction
App 20190259855 - Cheng; Chung-Liang ;   et al.
2019-08-22
Passivated and faceted for fin field effect transistor
Grant 10,381,482 - Chen , et al. A
2019-08-13
Driving Device for a Hub Assembly
App 20190233053 - CHEN; Yen-Yu ;   et al.
2019-08-01
Bonding pad process with protective layer
Grant 10,354,965 - Bih , et al. July 16, 2
2019-07-16
Metrology method in reticle transportation
Grant 10,345,716 - Shang , et al. July 9, 2
2019-07-09
Metrology Method In Reticle Transportation
App 20190163070 - SHANG; Yao-Yuan ;   et al.
2019-05-30
Metrology Method In Wafer Transportation
App 20190164792 - HUANG; Powen ;   et al.
2019-05-30
Plugging rod connector
Grant 10,299,574 - Chen
2019-05-28
Apparatus And System For Preventing Backside Peeling Defects On Semiconductor Wafers
App 20190139810 - CHEN; Yen-Yu ;   et al.
2019-05-09
Integrated Circuits with Doped Gate Dielectrics
App 20190139759 - Cheng; Chung-Liang ;   et al.
2019-05-09
Threshold Voltage Tuning for Fin-Based Integrated Circuit Device
App 20190139828 - Cheng; Chung-Liang ;   et al.
2019-05-09
Electromagnetic Module for Physical Vapor Deposition
App 20190136369 - Chu; Hsuan-Chih ;   et al.
2019-05-09
Threshold Voltage Tuning For Fin-Based Integrated Circuit Device
App 20190139954 - Cheng; Chung-Liang ;   et al.
2019-05-09
Plugging Rod Connector
App 20190137033 - CHEN; Yen-Yu
2019-05-09
Indirect illumination method and 3D graphics processing device
Grant 10,275,937 - Chien , et al.
2019-04-30
Planarization Method, Method For Polishing Wafer, And Cmp System
App 20190091827 - CHENG; Chung-Liang ;   et al.
2019-03-28
Bonding Pad Process with Protective Layer
App 20190096834 - BIH; Shih Wei ;   et al.
2019-03-28
Physical Button Mechanism And Electronic Device With The Same
App 20190066944 - WU; JIAN-GUO ;   et al.
2019-02-28
Interconnect Structure And Method Of Forming The Same
App 20190067188 - CHENG; Chung-Liang ;   et al.
2019-02-28
Fin structure of semiconductor device
Grant 10,186,602 - Chen , et al. Ja
2019-01-22
Receiving Device And Electronic Device With The Same
App 20190017526 - HU; LEI ;   et al.
2019-01-17
Chemical-mechanical planarization system
Grant 10,166,650 - Cheng , et al. J
2019-01-01
Apparatus and system for preventing backside peeling defects on semiconductor wafers
Grant 10,163,676 - Chen , et al. Dec
2018-12-25
Metal gate structure and manufacturing method thereof
Grant 10,163,626 - Lin , et al. Dec
2018-12-25
Reducing Metal Gate Overhang By Forming A Top-wide Bottom-narrow Dummy Gate Electrode
App 20180350948 - Bih; Shih Wei ;   et al.
2018-12-06
Method Of Frabriccating Semiconductor Device With Adhesion Layer
App 20180350946 - BIH; Shih Wei ;   et al.
2018-12-06
Method for controlling semiconductor deposition operation
Grant 10,113,228 - Cheng , et al. October 30, 2
2018-10-30
Photoresist nozzle device and photoresist supply system
Grant 10,074,547 - Tseng , et al. September 11, 2
2018-09-11
Casing Assembly And Electronic Device Having The Same
App 20180239395 - LIN; San-Feng ;   et al.
2018-08-23
Contact structure of semiconductor device
Grant 10,043,908 - Wann , et al. August 7, 2
2018-08-07
3d Image Acquisition Terminal And Method
App 20180192028 - PENG; CHUN-KAI ;   et al.
2018-07-05
Metal Gate Structure And Manufacturing Method Thereof
App 20180166274 - Lin; Ming-Huei ;   et al.
2018-06-14
Reducing Metal Gate Overhang By Forming A Top-wide Bottom-narrow Dummy Gate Electrode
App 20180102418 - Bih; Shih Wei ;   et al.
2018-04-12
Semiconductor Device And Manufacturing Method Thereof
App 20180083001 - Chen; Yen-Yu ;   et al.
2018-03-22
Semiconductor device and manufacturing method thereof
Grant 9,922,976 - Chen , et al. March 20, 2
2018-03-20
Smart Pillow To Avoid Neck Pain
App 20180049569 - PENG; CHUN-KAI ;   et al.
2018-02-22
Method for controlling exhaust flow in wafer processing module
Grant 9,892,982 - Tseng , et al. February 13, 2
2018-02-13
Electronic Device And Unlocking Method Therefor
App 20170344735 - CHEN; YEN-YU ;   et al.
2017-11-30
Receiving Device
App 20170340079 - YANG; XIN ;   et al.
2017-11-30
3D rendering method and 3D graphics processing device
App 20170323471 - Chien; Shao-Yi ;   et al.
2017-11-09
Indirect illumination method and 3D graphics processing device
App 20170323474 - Chien; Shao-Yi ;   et al.
2017-11-09
Process kit of physical vapor deposition chamber and fabricating method thereof
Grant 9,803,274 - Bih , et al. October 31, 2
2017-10-31
Passivated and Faceted for Fin Field Effect Transistor
App 20170278971 - Chen; Yen-Yu ;   et al.
2017-09-28
Semiconductor device with tunable work function
Grant 9,685,441 - Cheng , et al. June 20, 2
2017-06-20
Material Delivery System and Method
App 20170167027 - Liu; Ke-Chih ;   et al.
2017-06-15
Passivated and faceted fin field effect transistor
Grant 9,680,021 - Chen , et al. June 13, 2
2017-06-13
Solid precursor delivery system
Grant 9,611,546 - Cheng , et al. April 4, 2
2017-04-04
Semiconductor Device With Tunable Work Function
App 20170092644 - CHENG; Chung-Liang ;   et al.
2017-03-30
Mechanisms for monitoring impurity in high-K dielectric film
Grant 9,553,160 - Chen , et al. January 24, 2
2017-01-24
Fin Structure of Semiconductor Device
App 20170018629 - Chen; Yen-Yu ;   et al.
2017-01-19
Semiconductor device with tunable work function
Grant 9,548,372 - Cheng , et al. January 17, 2
2017-01-17
Passivation structure of fin field effect transistor
Grant 9,530,710 - Chen , et al. December 27, 2
2016-12-27
Gas Dispenser And Deposition Apparatus Using The Same
App 20160362782 - CHENG; Chung-Liang ;   et al.
2016-12-15
Duplexer, circuit structure thereof and RF transceiver apparatus comprising the duplexer
Grant 9,503,043 - Chuang , et al. November 22, 2
2016-11-22
Chemical-mechanical Planarization System
App 20160332277 - CHENG; Chung-Liang ;   et al.
2016-11-17
Rack Having Fan Speed Compensating Function And Compensating Method For The Server Rack
App 20160309621 - CHEN; Yen-Yu ;   et al.
2016-10-20
Fin structure of semiconductor device
Grant 9,472,652 - Chen , et al. October 18, 2
2016-10-18
Contact Structure Of Semiconductor Device
App 20160254383 - Wann; Clement Hsingjen ;   et al.
2016-09-01
Planarization method, method for polishing wafer, and CMP system
Grant 9,425,109 - Cheng , et al. August 23, 2
2016-08-23
Rack Having Automatic Recovery Function And Automatic Recovery Method For The Same
App 20160239370 - CHEN; Yen-Yu ;   et al.
2016-08-18
Solid Precursor Delivery System
App 20160230275 - CHENG; Chung-Liang ;   et al.
2016-08-11
Electroplating Apparatus And Method
App 20160222537 - SHEN; YUNG DI ;   et al.
2016-08-04
Semiconductor Device With Tunable Work Function
App 20160225871 - CHENG; Chung-Liang ;   et al.
2016-08-04
Driving Device And Illumination System
App 20160205755 - CHOU; CHING HO ;   et al.
2016-07-14
Rack having multiple rack management modules and firmware updating method for the same
Grant 9,385,920 - Chen , et al. July 5, 2
2016-07-05
Method for tuning threshold voltage of semiconductor device with metal gate structure
Grant 9,362,385 - Cheng , et al. June 7, 2
2016-06-07
Passivated and Faceted for Fin Field Effect Transistor
App 20160155846 - Chen; Yen-Yu ;   et al.
2016-06-02
Method for fabricating semiconductor structure, and solid precursor delivery system
Grant 9,343,315 - Cheng , et al. May 17, 2
2016-05-17
Contact structure of semiconductor device
Grant 9,337,285 - Wann , et al. May 10, 2
2016-05-10
Passivated and faceted for fin field effect transistor
Grant 9,287,262 - Chen , et al. March 15, 2
2016-03-15
Semiconductor device having a charged insulating layer
Grant 9,263,542 - Liu , et al. February 16, 2
2016-02-16
Contact Structure Of Semiconductor Device
App 20160005825 - Wann; Clement Hsingjen ;   et al.
2016-01-07
Apparatus and method for chemical mechanical polishing
Grant 9,227,294 - Cheng , et al. January 5, 2
2016-01-05
Fluorescent electronic ballast
Grant 9,232,619 - Chou , et al. January 5, 2
2016-01-05
Composition and method for chemical mechanical polishing
Grant 9,228,114 - Cheng , et al. January 5, 2
2016-01-05
Method For Controlling Semiconductor Deposition Operation
App 20150371847 - CHENG; CHUNG-LIANG ;   et al.
2015-12-24
Semiconductor Device Having A Charged Insulating Layer
App 20150364566 - LIU; CHEE-WEE ;   et al.
2015-12-17
Planarization Method, Method For Polishing Wafer, And Cmp System
App 20150348856 - CHENG; Chung-Liang ;   et al.
2015-12-03
Passivation Structure of Fin Field Effect Transistor
App 20150340302 - Chen; Yen-Yu ;   et al.
2015-11-26
Semiconductor devices comprising a fin
Grant 9,153,657 - Chen , et al. October 6, 2
2015-10-06
Balanced-to-unbalanced converter
Grant 9,148,113 - Tsai , et al. September 29, 2
2015-09-29
Passivation structure of fin field effect transistor
Grant 9,142,474 - Chen , et al. September 22, 2
2015-09-22
Contact structure of semiconductor device
Grant 9,136,383 - Wann , et al. September 15, 2
2015-09-15
Method For Controlling Exhaust Flow In Wafer Processing Module
App 20150191816 - TSENG; Kuo-Shu ;   et al.
2015-07-09
Fluorescent Electronic Ballast
App 20150195889 - CHOU; Ching-Ho ;   et al.
2015-07-09
Apparatus And Method For Chemical Mechanical Polishing
App 20150183080 - CHENG; CHUNG-LIANG ;   et al.
2015-07-02
Photoresist Nozzle Device And Photoresist Supply System
App 20150179483 - TSENG; Kuo-Shu ;   et al.
2015-06-25
Fin Structure of Semiconductor Device
App 20150179768 - Chen; Yen-Yu ;   et al.
2015-06-25
Semiconductor Structure With Metal Gate And Manufacuring Method Thereof
App 20150171177 - CHENG; CHUNG-LIANG ;   et al.
2015-06-18
Composition And Method For Chemical Mechanical Polishing
App 20150166837 - CHENG; CHUNG-LIANG ;   et al.
2015-06-18
Method For Fabricating Semiconductor Structure, And Solid Precursor Delivery System
App 20150147892 - CHENG; Chung-Liang ;   et al.
2015-05-28
Continuous-type Apparatus For Surface Treatment Of Workpieces
App 20150136182 - CHEN; Yen-Yu
2015-05-21
Process Kit Of Physical Vapor Deposition Chamber And Fabricating Method Thereof
App 20150129414 - BIH; Shih-Wei ;   et al.
2015-05-14
Semiconductor Processing Apparatus And Pre-clean System
App 20150129131 - LI; Chia-Ching ;   et al.
2015-05-14
Circuitry and method for driving laser with temperature compensation
Grant 9,031,108 - Ying , et al. May 12, 2
2015-05-12
Depth map generation for conversion of two-dimensional image data into three-dimensional image data
Grant 9,013,549 - Chen , et al. April 21, 2
2015-04-21
Passivated and Faceted for Fin Field Effect Transistor
App 20150102386 - Chen; Yen-Yu ;   et al.
2015-04-16
Passivation Structure of Fin Field Effect Transistor
App 20150097239 - Chen; Yen-Yu ;   et al.
2015-04-09
Mechanisms For Monitoring Impurity In High-k Dielectric Film
App 20150099315 - CHEN; Wei-Jen ;   et al.
2015-04-09
Circuitry And Method For Driving Laser With Temperature Compensation
App 20150043600 - YING; Cheng-Ming ;   et al.
2015-02-12
Semiconductor Devices Comprising A Fin
App 20150028389 - Chen; Chi-Yuan ;   et al.
2015-01-29
Apparatus and System for Preventing Backside Peeling Defects on Semiconductor Wafers
App 20150000599 - Chen; Yen-Yu ;   et al.
2015-01-01
Semiconductor devices and methods of manufacture thereof
Grant 8,889,497 - Chen , et al. November 18, 2
2014-11-18
Duplexer, Circuit Structure Thereof And Rf Transceiver Apparatus Comprising The Duplexer
App 20140204806 - Chuang; Min-Han ;   et al.
2014-07-24
Semiconductor Devices and Methods of Manufacture Thereof
App 20140183633 - Chen; Chi-Yuan ;   et al.
2014-07-03
Contact Structure Of Semiconductor Device
App 20140042500 - Wann; Clement Hsingjen ;   et al.
2014-02-13
Balanced-to-unbalanced Converter
App 20140015621 - Tsai; Ming-Fan ;   et al.
2014-01-16
Assembling Method Of Display Device And Display Device
App 20130314884 - Chen; Yen-Yu ;   et al.
2013-11-28
Depth Map Generation For Conversion Of Two-dimensional Image Data Into Three-dimensional Image Data
App 20130314494 - CHEN; YEN-YU ;   et al.
2013-11-28
Foldable frame structure
Grant 8,496,289 - Chen , et al. July 30, 2
2013-07-30
Method Of Manufacturing A Solar Cell
App 20130089943 - Chen; Yen-Yu ;   et al.
2013-04-11
Material Delivery System and Method
App 20130089934 - Liu; Ke-Chih ;   et al.
2013-04-11
Foldable Frame Structure
App 20130088053 - Chen; Yuan-Ming ;   et al.
2013-04-11
Point-contact Solar Cell Structure
App 20130087191 - TAN; Seow-Wei ;   et al.
2013-04-11
Information extraction method, extractor rebuilding method, and system and computer program product thereof
Grant 8,417,665 - Chen , et al. April 9, 2
2013-04-09
Communication Device With Intelligent Frequency Hopping Channel Selection Capability
App 20130077655 - CHEN; Yen-Yu ;   et al.
2013-03-28
Chamber Conditioning Method
App 20130064973 - Chen; Yen-Yu ;   et al.
2013-03-14
Antenna Device
App 20120119956 - Chen; Yen-Yu ;   et al.
2012-05-17
Solar Cell And Method Of Making The Same
App 20120097246 - Liu; Chee-Wee ;   et al.
2012-04-26
Method of making and using alloy susceptor with improved properties for film deposition
Grant 8,147,909 - Wu , et al. April 3, 2
2012-04-03
Leather coating structure for a case and method for manufacturing thereof
Grant 8,144,461 - Lin , et al. March 27, 2
2012-03-27
Photovoltaic Cell
App 20110284074 - Liu; Chee-Wee ;   et al.
2011-11-24
Image Processing Device And Image Signal Processing System
App 20110285728 - Shih; Ching Chang ;   et al.
2011-11-24
Solar cell defect passivation method
Grant 8,062,964 - Tsai , et al. November 22, 2
2011-11-22
Gaseous Fuel Supply System For A Fuel Cell
App 20110250517 - Chen; Yen-Yu ;   et al.
2011-10-13
Parallel Fuel Cell Electrical Power System
App 20110200906 - Wu; Yi-Fan ;   et al.
2011-08-18
Digital television (DTV) antenna apparatus
Grant 7,928,911 - Chen , et al. April 19, 2
2011-04-19
Fuel Cell Structure With Porous Metal Plate
App 20110086288 - Chiu; Wen-Hsin ;   et al.
2011-04-14
Information extraction method, extractor rebuilding method, and system and computer program product thereof
Grant 7,925,618 - Chen , et al. April 12, 2
2011-04-12
Solar Cell Defect Passivation Method
App 20110053351 - Tsai; Wen-Fa ;   et al.
2011-03-03
Digital TV antenna
Grant 7,898,483 - Chen , et al. March 1, 2
2011-03-01
Conveyor having a grip unit
App 20110036688 - Chen; Yen-Yu
2011-02-17
Identifying A Level Of Desirability Of Hyperlinked Information Or Other User Selectable Information
App 20100287174 - Yang; I-Hsuan ;   et al.
2010-11-11
Fuel Cell Structure Having Combined Polar Plates And The Combined Polar Plates Thereof
App 20100255391 - CHEN; Feng-Chang ;   et al.
2010-10-07
Alloy Susceptor With Improved Properties For Film Deposition
App 20100247773 - Wu; Shuo-Jieh ;   et al.
2010-09-30
Information Extraction Method, Extractor Rebuilding Method, And System And Computer Program Product Thereof
App 20100153355 - Chen; Yen-Yu ;   et al.
2010-06-17
Information Extraction Method, Extractor Rebuilding Method, And System And Computer Program Product Thereof
App 20100153344 - Chen; Yen-Yu ;   et al.
2010-06-17
Digital TV Antenna
App 20100026581 - Chen; Yen-Yu ;   et al.
2010-02-04
Digital Television Antenna
App 20100001908 - Chen; Yen-Yu
2010-01-07
DTV Antenna Apparatus
App 20090256753 - Chen; Yen-Yu ;   et al.
2009-10-15
Fuel cell structure with external flow channels
App 20090226795 - Chen; Feng-Chang ;   et al.
2009-09-10
One-piece Organic Light Emitting Diode Display Device With An Energy-recycling Feature And High Contrast
App 20090108757 - Lee; Si-Chen ;   et al.
2009-04-30
Leather Coating Structure for a Case and Method for Manufacturing Thereof
App 20070158221 - Lin; San-Fang ;   et al.
2007-07-12
Electronic Apparatus Having Buttons Without Forming Gaps Therein
App 20070046644 - Lin; San-Feng ;   et al.
2007-03-01
Electronic Device With Rotary Knob
App 20070013682 - Lin; San-Feng ;   et al.
2007-01-18
Hinge device with locking function
App 20060130278 - Chen; Yen Yu ;   et al.
2006-06-22

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed