Patent | Date |
---|
Phase change memory cell with a wrap around and ring type of electrode contact and a projection liner Grant 11,456,415 - Ok , et al. September 27, 2 | 2022-09-27 |
Gate-all-around FETs having uniform threshold voltage Grant 11,456,219 - Bao , et al. September 27, 2 | 2022-09-27 |
Enabling Anneal For Reliability Improvement And Multi-vt With Interfacial Layer Regrowth Suppression App 20220238682 - Bao; Ruqiang ;   et al. | 2022-07-28 |
Multi-metal dipole doping to offer multi-threshold voltage pairs without channel doping for highly scaling CMOS device Grant 11,393,725 - Bao , et al. July 19, 2 | 2022-07-19 |
Contact Resistance Reduction In Nanosheet Device Structure App 20220181439 - Wu; Heng ;   et al. | 2022-06-09 |
Phase Change Memory Cell With A Wrap Around And Ring Type Of Electrode Contact And A Projection Liner App 20220181546 - Ok; Injo ;   et al. | 2022-06-09 |
Phase Change Memory Cell With A Projection Liner App 20220181547 - OK; Injo ;   et al. | 2022-06-09 |
Enabling anneal for reliability improvement and multi-Vt with interfacial layer regrowth suppression Grant 11,329,136 - Bao , et al. May 10, 2 | 2022-05-10 |
Contact resistance reduction in nanosheet device structure Grant 11,289,573 - Wu , et al. March 29, 2 | 2022-03-29 |
Threshold voltage adjustment from oxygen vacancy by scavenge metal filling at gate cut (CT) Grant 11,282,962 - Zhou , et al. March 22, 2 | 2022-03-22 |
Stacked gate structures Grant 11,282,838 - Zhang , et al. March 22, 2 | 2022-03-22 |
Additive core subtractive liner for metal cut etch processes Grant 11,276,767 - Bao , et al. March 15, 2 | 2022-03-15 |
Replacement metal gate process for vertical transport field-effect transistor with self-aligned shared contacts Grant 11,271,106 - Bao , et al. March 8, 2 | 2022-03-08 |
Replacement metal gate process for vertical transport field-effect transistors with multiple threshold voltages Grant 11,257,721 - Bao , et al. February 22, 2 | 2022-02-22 |
Approach to control over-etching of bottom spacers in vertical fin field effect transistor devices Grant 11,251,285 - Bao , et al. February 15, 2 | 2022-02-15 |
Gate-all-around field effect transistor having multiple threshold voltages Grant 11,245,020 - Bao , et al. February 8, 2 | 2022-02-08 |
Stacked Gate Structures App 20220013521 - Zhang; Chen ;   et al. | 2022-01-13 |
Multi-threshold Voltage Non-planar Complementary Metal-oxide-semiconductor Devices App 20220005807 - Bao; Ruqiang ;   et al. | 2022-01-06 |
Fabrication of field effect transistors with different threshold voltages through modified channel interfaces Grant 11,211,379 - Ando , et al. December 28, 2 | 2021-12-28 |
Multi-metal dipole doping to offer multi-threshold voltage pairs without channel doping for highly scaling CMOS device Grant 11,195,762 - Bao , et al. December 7, 2 | 2021-12-07 |
Conformal replacement gate electrode for short channel devices Grant 11,195,929 - Ando , et al. December 7, 2 | 2021-12-07 |
Multi-threshold voltage non-planar complementary metal-oxtde-semiconductor devices Grant 11,189,616 - Bao , et al. November 30, 2 | 2021-11-30 |
Differing device characteristics on a single wafer by selective etch Grant 11,183,427 - Zhou , et al. November 23, 2 | 2021-11-23 |
Fabrication of field effect transistors with different threshold voltages through modified channel interfaces Grant 11,177,257 - Ando , et al. November 16, 2 | 2021-11-16 |
Additive core subtractive liner for metal cut etch processes Grant 11,152,489 - Bao , et al. October 19, 2 | 2021-10-19 |
Vertical fin field effect transistor devices with a replacement metal gate Grant 11,107,814 - Bao , et al. August 31, 2 | 2021-08-31 |
Oxide isolated fin-type field-effect transistors Grant 11,094,801 - Bao , et al. August 17, 2 | 2021-08-17 |
Additive core subtractive liner for metal cut etch processes Grant 11,075,281 - Bao , et al. July 27, 2 | 2021-07-27 |
Vertical fin field effect transistor devices with a replacement metal gate Grant 11,049,858 - Bao , et al. June 29, 2 | 2021-06-29 |
Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages Grant 11,031,301 - Bao , et al. June 8, 2 | 2021-06-08 |
Static Random-access Memory Cell Design App 20210166754 - Yu; Lan ;   et al. | 2021-06-03 |
Nanosheet FET bottom isolation Grant 11,024,711 - Bao , et al. June 1, 2 | 2021-06-01 |
Static random-access memory cell design Grant 11,024,369 - Yu , et al. June 1, 2 | 2021-06-01 |
Static Random-access Memory Cell Design App 20210151096 - Yu; Lan ;   et al. | 2021-05-20 |
Semiconductor structure including first FinFET devices for low power applications and second FinFET devices for high power applications Grant 11,011,517 - Yu , et al. May 18, 2 | 2021-05-18 |
Vertical fin field effect transistor devices with a replacement metal gate Grant 11,004,850 - Bao , et al. May 11, 2 | 2021-05-11 |
Transistor Structure With N/p Boundary Buffer App 20210118743 - Lallement; Romain ;   et al. | 2021-04-22 |
Self-aligned Metal Gate With Poly Silicide For Vertical Transport Field-effect Transistors App 20210118881 - Anderson; Brent A. ;   et al. | 2021-04-22 |
Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages Grant 10,985,075 - Bao , et al. April 20, 2 | 2021-04-20 |
Oxygen-free Replacment Liner For Improved Transistor Performance App 20210111068 - Wu; Heng ;   et al. | 2021-04-15 |
Oxygen-free replacement liner for improved transistor performance Grant 10,971,399 - Wu , et al. April 6, 2 | 2021-04-06 |
Interface charge reduction for SiGe surface Grant 10,971,626 - Sadana , et al. April 6, 2 | 2021-04-06 |
Self-aligned metal gate with poly silicide for vertical transport field-effect transistors Grant 10,957,696 - Anderson , et al. March 23, 2 | 2021-03-23 |
Hybrid BEOL metallization utilizing selective reflection mask Grant 10,957,646 - Briggs , et al. March 23, 2 | 2021-03-23 |
Multi-threshold Voltage Non-planar Complementary Metal-oxide-semiconductor Devices App 20210082915 - Bao; Ruqiang ;   et al. | 2021-03-18 |
Gate to source/drain leakage reduction in nanosheet transistors via inner spacer optimization Grant 10,943,989 - Wu , et al. March 9, 2 | 2021-03-09 |
Gate stack designs for analog and logic devices in dual channel Si/SiGe CMOS Grant 10,937,648 - Lee , et al. March 2, 2 | 2021-03-02 |
Nanosheet FET bottom isolation Grant 10,930,734 - Bao , et al. February 23, 2 | 2021-02-23 |
Low resistance electrode for high aspect ratio confined PCM cell in BEOL Grant 10,903,418 - Bao , et al. January 26, 2 | 2021-01-26 |
Transistor structure with n/p boundary buffer Grant 10,903,124 - Lallement , et al. January 26, 2 | 2021-01-26 |
Gate first technique in vertical transport FET using doped silicon gates with silicide Grant 10,892,339 - Bao , et al. January 12, 2 | 2021-01-12 |
Reduced static random access memory (SRAM) device foot print through controlled bottom source/drain placement Grant 10,832,975 - Bao , et al. November 10, 2 | 2020-11-10 |
Horizontal-trench capacitor Grant 10,833,146 - Xu , et al. November 10, 2 | 2020-11-10 |
Transistor Structure With N/p Boundary Buffer App 20200350212 - Lallement; Romain ;   et al. | 2020-11-05 |
Leakage Control For Gate-all-around Field-effect Transistor Devices App 20200343372 - Yu; Lan ;   et al. | 2020-10-29 |
Semiconductor device having two-part spacer Grant 10,804,368 - Bao , et al. October 13, 2 | 2020-10-13 |
Leakage control for gate-all-around field-effect transistor devices Grant 10,797,163 - Yu , et al. October 6, 2 | 2020-10-06 |
Horizontal-Trench Capacitor App 20200312951 - Xu; Zheng ;   et al. | 2020-10-01 |
Perpendicular stacked field-effect transistor device Grant 10,790,271 - Xu , et al. September 29, 2 | 2020-09-29 |
Dual channel silicon/silicon germanium complementary metal oxide semiconductor performance with interface engineering Grant 10,790,199 - Bao , et al. September 29, 2 | 2020-09-29 |
Gate First Technique In Vertical Transport Fet Using Doped Silicon Gates With Silicide App 20200295147 - BAO; RUQIANG ;   et al. | 2020-09-17 |
Self-aligned top spacers for vertical FETs with in situ solid state doping Grant 10,777,469 - Bao , et al. Sept | 2020-09-15 |
Self-aligned bottom source/drain epitaxial growth in vertical field effect transistors Grant 10,777,659 - Lee , et al. Sept | 2020-09-15 |
Threshold Voltage Adjustment From Oxygen Vacancy By Scavenge Metal Filling At Gate Cut (ct) App 20200287048 - Zhou; Huimei ;   et al. | 2020-09-10 |
Gate To Source/drain Leakage Reduction In Nanosheet Transistors Via Inner Spacer Optimization App 20200287021 - Wu; Heng ;   et al. | 2020-09-10 |
Contact Resistance Reduction In Nanosheet Device Structure App 20200279918 - Wu; Heng ;   et al. | 2020-09-03 |
Enabling Residue Free Gap Fill Between Nanosheets App 20200279956 - SESHADRI; Indira ;   et al. | 2020-09-03 |
Shared metal gate stack with tunable work function Grant 10,756,194 - Bao , et al. A | 2020-08-25 |
Formation of self-aligned bottom spacer for vertical transistors Grant 10,749,012 - Bao , et al. A | 2020-08-18 |
Gate-all-around Field Effect Transistor Having Multiple Threshold Voltages App 20200258995 - A1 | 2020-08-13 |
Self-Aligned Semiconductor Gate Cut App 20200258745 - A1 | 2020-08-13 |
Encapsulation layer for vertical transport field-effect transistor gate stack Grant 10,741,663 - Bao , et al. A | 2020-08-11 |
Self-aligned semiconductor gate cut Grant 10,741,401 - Xu , et al. A | 2020-08-11 |
Gate-all-around Field Effect Transistor Having Multiple Threshold Voltages App 20200251568 - Kind Code | 2020-08-06 |
Oxide Isolated Fin-type Field-effect Transistors App 20200243670 - Bao; Ruqiang ;   et al. | 2020-07-30 |
Vertical Fin Field Effect Transistor Devices With A Replacement Metal Gate App 20200243526 - Bao; Ruqiang ;   et al. | 2020-07-30 |
Vertical Fin Field Effect Transistor Devices With A Replacement Metal Gate App 20200243527 - Bao; Ruqiang ;   et al. | 2020-07-30 |
Vertical Fin Field Effect Transistor Devices With A Replacement Metal Gate App 20200243525 - Bao; Ruqiang ;   et al. | 2020-07-30 |
Gate-all-around Fets Having Uniform Threshold Voltage App 20200243399 - BAO; RUQIANG ;   et al. | 2020-07-30 |
Oxygen-free Replacement Liner For Improved Transistor Performance App 20200235008 - Wu; Heng ;   et al. | 2020-07-23 |
Differing Device Characteristics On A Single Wafer By Selective Etch App 20200227322 - Zhou; Huimei ;   et al. | 2020-07-16 |
Replacement Metal Gate Process For Vertical Transport Field-effect Transistors With Multiple Threshold Voltages App 20200211908 - Bao; Ruqiang ;   et al. | 2020-07-02 |
Minimum Width Device For Power Saving App 20200212037 - Yu; Lan ;   et al. | 2020-07-02 |
Replacement Metal Gate Process For Vertical Transport Field-effect Transistor With Self-aligned Shared Contacts App 20200212220 - Bao; Ruqiang ;   et al. | 2020-07-02 |
Gate-all-around FETs having uniform threshold voltage Grant 10,692,778 - Bao , et al. | 2020-06-23 |
Gate formation scheme for nanosheet transistors having different work function metals and different nanosheet width dimensions Grant 10,692,873 - Ando , et al. | 2020-06-23 |
Gate cut in RMG Grant 10,692,990 - Bao , et al. | 2020-06-23 |
Fabrication Of Field Effect Transistors With Different Threshold Voltages Through Modified Channel Interfaces App 20200185380 - Ando; Takashi ;   et al. | 2020-06-11 |
Fabrication Of Field Effect Transistors With Different Threshold Voltages Through Modified Channel Interfaces App 20200185381 - Ando; Takashi ;   et al. | 2020-06-11 |
Oxide isolated fin-type field-effect transistors Grant 10,680,083 - Bao , et al. | 2020-06-09 |
Vertical fin field effect transistor devices with a replacement metal gate Grant 10,679,993 - Bao , et al. | 2020-06-09 |
Differing device characteristics on a single wafer by selective etch Grant 10,679,901 - Zhou , et al. | 2020-06-09 |
Hybrid Beol Metallization Utilizing Selective Reflection Mask App 20200176388 - BRIGGS; Benjamin D. ;   et al. | 2020-06-04 |
Replacement metal gate process for vertical transport field-effect transistors with multiple threshold voltages Grant 10,672,670 - Bao , et al. | 2020-06-02 |
Replacement metal gate process for vertical transport field-effect transistor with self-aligned shared contacts Grant 10,672,905 - Bao , et al. | 2020-06-02 |
Threshold voltage adjustment from oxygen vacancy by scavenge metal filling at gate cut (CT) Grant 10,672,910 - Zhou , et al. | 2020-06-02 |
Self-aligned Bottom Source/drain Epitaxial Growth In Vertical Field Effect Transistors App 20200161451 - LEE; Choonghyun ;   et al. | 2020-05-21 |
Low Resistance Electrode For High Aspect Ratio Confined Pcm Cell In Beol App 20200161543 - Bao; Ruqiang ;   et al. | 2020-05-21 |
Reconfigurable allocation of VNCAP inter-layer vias for co-tuning of L and C in LC tank Grant 10,658,973 - Xu , et al. | 2020-05-19 |
Replacement metal gate processes for vertical transport field-effect transistor Grant 10,658,299 - Lee , et al. | 2020-05-19 |
Enabling residue free gap fill between nanosheets Grant 10,658,521 - Seshadri , et al. | 2020-05-19 |
Gate Stack Designs for Analog and Logic Devices in Dual Channel Si/SiGe CMOS App 20200144057 - Lee; Choonghyun ;   et al. | 2020-05-07 |
Vertical Fin Field Effect Transistor Devices With A Replacement Metal Gate App 20200144265 - Bao; Ruqiang ;   et al. | 2020-05-07 |
Gate cut in RMG Grant 10,644,129 - Bao , et al. | 2020-05-05 |
Nanosheet Fet Bottom Isolation App 20200135853 - BAO; RUQIANG ;   et al. | 2020-04-30 |
Nanosheet Fet Bottom Isolation App 20200135852 - BAO; RUQIANG ;   et al. | 2020-04-30 |
Low undercut N-P work function metal patterning in nanosheet replacement metal gate process Grant 10,629,495 - Seshadri , et al. | 2020-04-21 |
Gate Formation Scheme For N-type And P-type Transistors Having Separately Tuned Threshold Voltages App 20200118888 - Bao; Ruqiang ;   et al. | 2020-04-16 |
Self-aligned Top Spacers For Vertical Fets With In Situ Solid State Doping App 20200118890 - Bao; Ruqiang ;   et al. | 2020-04-16 |
Gate Formation Scheme For N-type And P-type Transistors Having Separately Tuned Threshold Voltages App 20200118889 - Bao; Ruqiang ;   et al. | 2020-04-16 |
Vertical tunnel FET with self-aligned heterojunction Grant 10,622,489 - Yeung , et al. | 2020-04-14 |
VFET metal gate patterning for vertical transport field effect transistor Grant 10,615,082 - Anderson , et al. | 2020-04-07 |
Formation of common interfacial layer on Si/SiGe dual channel complementary metal oxide semiconductor device Grant 10,615,083 - Bao , et al. | 2020-04-07 |
FinFET transistor gate and epitaxy formation Grant 10,608,121 - Bao , et al. | 2020-03-31 |
Fabrication of field effect transistors with different threshold voltages through modified channel interfaces Grant 10,607,990 - Ando , et al. | 2020-03-31 |
Additive core subtractive liner for metal cut etch processes Grant 10,600,884 - Bao , et al. | 2020-03-24 |
Oxide Isolated Fin-type Field-effect Transistors App 20200091319 - Bao; Ruqiang ;   et al. | 2020-03-19 |
Additive Core Subtractive Liner For Metal Cut Etch Processes App 20200083349 - Bao; Ruqiang ;   et al. | 2020-03-12 |
Additive Core Subtractive Liner For Metal Cut Etch Processes App 20200083350 - Bao; Ruqiang ;   et al. | 2020-03-12 |
Gate-all-around field effect transistor having multiple threshold voltages Grant 10,586,854 - Bao , et al. | 2020-03-10 |
Hybrid BEOL metallization utilizing selective reflection mask Grant 10,586,767 - Briggs , et al. | 2020-03-10 |
Approach to control over-etching of bottom spacers in vertical fin field effect transistor devices Grant 10,580,881 - Bao , et al. | 2020-03-03 |
Conformal Replacement Gate Electrode For Short Channel Devices App 20200066859 - Ando; Takashi ;   et al. | 2020-02-27 |
Replacement Metal Gate Process For Vertical Transport Field-effect Transistors With Multiple Threshold Voltages App 20200066603 - Bao; Ruqiang ;   et al. | 2020-02-27 |
Replacement Metal Gate Process For Vertical Transport Field-effect Transistor With Self-aligned Shared Contacts App 20200066903 - Bao; Ruqiang ;   et al. | 2020-02-27 |
Differing Device Characteristics On A Single Wafer By Selective Etch App 20200058555 - Zhou; Huimei ;   et al. | 2020-02-20 |
Threshold Voltage Adjustment From Oxygen Vacancy By Scavenge Metal Filling At Gate Cut (ct) App 20200052125 - Zhou; Huimei ;   et al. | 2020-02-13 |
Gate Cut In Rmg App 20200044051 - Bao; Ruqiang ;   et al. | 2020-02-06 |
Gate Cut In Rmg App 20200044052 - Bao; Ruqiang ;   et al. | 2020-02-06 |
Gate-all-around Fets Having Uniform Threshold Voltage App 20200043808 - BAO; Ruqiang ;   et al. | 2020-02-06 |
Integrated circuit with replacement gate stacks and method of forming same Grant 10,553,498 - Bao , et al. Fe | 2020-02-04 |
Gate cut in RMG Grant 10,553,700 - Bao , et al. Fe | 2020-02-04 |
Semiconductor Device Having Two-part Spacer App 20200035808 - Bao; Ruqiang ;   et al. | 2020-01-30 |
Multi-metal dipole doping to offer multi-threshold voltage pairs without channel doping for highly scaling CMOS device Grant 10,546,787 - Bao , et al. Ja | 2020-01-28 |
Hybrid Beol Metallization Utilizing Selective Reflection Mask App 20200027840 - BRIGGS; Benjamin D. ;   et al. | 2020-01-23 |
Multi-metal Dipole Doping To Offer Multi-threshold Voltage Pairs Without Channel Doping For Highly Scaling Cmos Device App 20200020595 - Bao; Ruqiang ;   et al. | 2020-01-16 |
Multi-metal Dipole Doping To Offer Multi-threshold Voltage Pairs Without Channel Doping For Highly Scaling Cmos Device App 20200020594 - Bao; Ruqiang ;   et al. | 2020-01-16 |
Gate stack designs for analog and logic devices in dual channel Si/SiGe CMOS Grant 10,535,517 - Lee , et al. Ja | 2020-01-14 |
Approach To Control Over-etching Of Bottom Spacers In Vertical Fin Field Effect Transistor Devices App 20200013877 - Bao; Ruqiang ;   et al. | 2020-01-09 |
Semiconductor device and method of forming the semiconductor device Grant 10,529,628 - Anderson , et al. J | 2020-01-07 |
Conformal replacement gate electrode for short channel devices Grant 10,529,815 - Ando , et al. J | 2020-01-07 |
Gate Formation Scheme For Nanosheet Transistors Having Different Work Function Metals And Different Nanosheet Width Dimensions App 20200006356 - Ando; Takashi ;   et al. | 2020-01-02 |
Reduced Static Random Access Memory (sram) Device Foot Print Through Controlled Bottom Source/drain Placement App 20190378767 - Bao; Ruqiang ;   et al. | 2019-12-12 |
Multi-metal Dipole Doping To Offer Multi-threshold Voltage Pairs Without Channel Doping For Highly Scaling Cmos Device App 20190371676 - Bao; Ruqiang ;   et al. | 2019-12-05 |
Enabling Anneal For Reliability Improvement And Multi-vt With Interfacial Layer Regrowth Suppression App 20190371903 - Bao; Ruqiang ;   et al. | 2019-12-05 |
Gate Cut In Rmg App 20190371912 - Bao; Ruqiang ;   et al. | 2019-12-05 |
Gate formation scheme for nanosheet transistors having different work function metals and different nanosheet width dimensions Grant 10,490,559 - Ando , et al. Nov | 2019-11-26 |
Enabling Residue Free Gap Fill Between Nanosheets App 20190355851 - SESHADRI; Indira ;   et al. | 2019-11-21 |
Formation of a semiconductor device with selective nitride grown on conductor Grant 10,468,412 - Bao , et al. No | 2019-11-05 |
Reconfigurable Allocation of VNCAP Inter-layer Vias for Co-Tuning of L and C in LC Tank App 20190334477 - Xu; Zheng ;   et al. | 2019-10-31 |
Interface Charge Reduction for SiGe Surface App 20190326429 - Sadana; Devendra ;   et al. | 2019-10-24 |
Perpendicular Stacked Field-effect Transistor Device App 20190319021 - Xu; Zheng ;   et al. | 2019-10-17 |
Formation Of Self-aligned Bottom Spacer For Vertical Transistors App 20190319114 - BAO; RUQIANG ;   et al. | 2019-10-17 |
Formation of self-aligned bottom spacer for vertical transistors Grant 10,439,043 - Bao , et al. O | 2019-10-08 |
Gate Stack Designs for Analog and Logic Devices in Dual Channel Si/SiGe CMOS App 20190295844 - Lee; Choonghyun ;   et al. | 2019-09-26 |
Techniques for forming different gate length vertical transistors with dual gate oxide Grant 10,418,288 - Bao , et al. Sept | 2019-09-17 |
Replacement Metal Gate Processes For Vertical Transport Field-effect Transistor App 20190267325 - Lee; Choonghyun ;   et al. | 2019-08-29 |
Vertical FET transistor with reduced source/drain contact resistance Grant 10,395,988 - Cheng , et al. A | 2019-08-27 |
Multi-layer work function metal gates with similar gate thickness to achieve multi-Vt for vFETs Grant 10,395,989 - Bao , et al. A | 2019-08-27 |
Structure And Method To Suppress Work Function Effect By Patterning Boundary Proximity In Replacement Metal Gate App 20190259754 - Bao; Ruqiang ;   et al. | 2019-08-22 |
Multiple-threshold Nanosheet Transistors App 20190252495 - Bao; Ruqiang ;   et al. | 2019-08-15 |
Interface charge reduction for SiGe surface Grant 10,381,479 - Sadana , et al. A | 2019-08-13 |
Replacement metal gate processes for vertical transport field-effect transistor Grant 10,373,912 - Lee , et al. | 2019-08-06 |
Dual Channel Silicon/silicon Germanium Complementary Metal Oxide Semiconductor Performance With Interface Engineering App 20190229020 - Bao; Ruqiang ;   et al. | 2019-07-25 |
Dual channel silicon/silicon germanium complementary metal oxide semiconductor performance with interface engineering Grant 10,361,130 - Bao , et al. | 2019-07-23 |
Structures with thinned dielectric material Grant 10,361,132 - Bao , et al. | 2019-07-23 |
Structure and method to suppress work function effect by patterning boundary proximity in replacement metal gate Grant 10,354,999 - Bao , et al. July 16, 2 | 2019-07-16 |
Techniques for Forming Different Gate Length Vertical Transistors with Dual Gate Oxide App 20190214305 - Bao; Ruqiang ;   et al. | 2019-07-11 |
Replacement Metal Gate Processes For Vertical Transport Field-effect Transistor App 20190214343 - Lee; Choonghyun ;   et al. | 2019-07-11 |
Low Undercut N-p Work Function Metal Patterning In Nanosheet Replacement Metal Gate Process App 20190214311 - Seshadri; Indira ;   et al. | 2019-07-11 |
Multiple-threshold nanosheet transistors Grant 10,340,340 - Bao , et al. | 2019-07-02 |
Formation Of Full Metal Gate To Suppress Interficial Layer Growth App 20190198500 - BAO; RUQIANG ;   et al. | 2019-06-27 |
Integrated metal gate CMOS devices Grant 10,332,883 - Bao , et al. | 2019-06-25 |
Formation Of Self-aligned Bottom Spacer For Vertical Transistors App 20190189774 - BAO; RUQIANG ;   et al. | 2019-06-20 |
Vertical transport fin field effect transistors having different channel lengths Grant 10,325,815 - Bao , et al. | 2019-06-18 |
Vfet Metal Gate Patterning For Vertical Transport Field Effect Transistor App 20190181051 - Anderson; Brent A. ;   et al. | 2019-06-13 |
FORMATION OF COMMON INTERFACIAL LAYER ON Si/SiGe DUAL CHANNEL COMPLEMENTARY METAL OXIDE SEMICONDUCTOR DEVICE App 20190181052 - Bao; Ruqiang ;   et al. | 2019-06-13 |
Multi-layer work function metal gates with similar gate thickness to achieve multi-VT for VFETs Grant 10,312,147 - Bao , et al. June 4, 2 | 2019-06-04 |
Vertical transport transistors with equal gate stack thicknesses Grant 10,312,237 - Bao , et al. | 2019-06-04 |
Field effect transistor stack with tunable work function Grant 10,312,157 - Bao , et al. | 2019-06-04 |
Formation of full metal gate to suppress interficial layer growth Grant 10,297,598 - Bao , et al. | 2019-05-21 |
Uniform threshold voltage for nanosheet devices Grant 10,297,671 - Bao , et al. | 2019-05-21 |
Approach to control over-etching of bottom spacers in vertical fin field effect transistor devices Grant 10,283,620 - Bao , et al. | 2019-05-07 |
Conformal Replacement Gate Electrode For Short Channel Devices App 20190131418 - Ando; Takashi ;   et al. | 2019-05-02 |
Low undercut N-P work function metal patterning in nanosheet replacement metal gate process Grant 10,276,452 - Seshadri , et al. | 2019-04-30 |
Formation of self-aligned bottom spacer for vertical transistors Grant 10,276,687 - Bao , et al. | 2019-04-30 |
Vertical Tunnel FET with Self-Aligned Heterojunction App 20190115479 - Yeung; Chun Wing ;   et al. | 2019-04-18 |
Threshold voltage modulation through channel length adjustment Grant 10,263,098 - Bao , et al. | 2019-04-16 |
Formation of common interfacial layer on Si/SiGe dual channel complementary metal oxide semiconductor device Grant 10,256,159 - Bao , et al. | 2019-04-09 |
Field effect transistor stack with tunable work function Grant 10,249,543 - Bao , et al. | 2019-04-02 |
Vertical transport fin field effect transistors having different channel lengths Grant 10,242,919 - Bao , et al. | 2019-03-26 |
Shared metal gate stack with tunable work function Grant 10,243,055 - Bao , et al. | 2019-03-26 |
VFET metal gate patterning for vertical transport field effect transistor Grant 10,236,219 - Anderson , et al. | 2019-03-19 |
Threshold voltage modulation through channel length adjustment Grant 10,224,419 - Bao , et al. | 2019-03-05 |
Enabling low resistance gates and contacts integrated with bilayer dielectrics Grant 10,204,828 - Bao , et al. Feb | 2019-02-12 |
Interface Charge Reduction for SiGe Surface App 20190035923 - Sadana; Devendra ;   et al. | 2019-01-31 |
Shared Metal Gate Stack With Tunable Work Function App 20190027572 - Bao; Ruqiang ;   et al. | 2019-01-24 |
Forming MOSFET structures with work function modification Grant 10,170,477 - Bao , et al. J | 2019-01-01 |
FinFET transistor gate and epitaxy formation Grant 10,170,640 - Bao , et al. J | 2019-01-01 |
Threshold voltage modulation through channel length adjustment Grant 10,170,593 - Bao , et al. J | 2019-01-01 |
Vertical transport transistors with equal gate stack thicknesses Grant 10,157,923 - Bao , et al. Dec | 2018-12-18 |
Vertical Transport Fin Field Effect Transistors Having Different Channel Lengths App 20180358269 - Bao; Ruqiang ;   et al. | 2018-12-13 |
Vertical Transport Fin Field Effect Transistors Having Different Channel Lengths App 20180358268 - Bao; Ruqiang ;   et al. | 2018-12-13 |
Vertical Transport Transistors With Equal Gate Stack Thicknesses App 20180350811 - Bao; Ruqiang ;   et al. | 2018-12-06 |
Semiconductor Device and Method of Forming the Semiconductor Device App 20180350698 - ANDERSON; Brent Alan ;   et al. | 2018-12-06 |
Uniform Threshold Voltage For Nanosheet Devices App 20180350935 - Bao; Ruqiang ;   et al. | 2018-12-06 |
Forming MOSFET structures with work function modification Grant 10,147,725 - Bao , et al. De | 2018-12-04 |
Bottom Contact Resistance Reduction On Vfet App 20180337277 - Bao; Ruqiang ;   et al. | 2018-11-22 |
Semiconductor device and method of forming the semiconductor device Grant 10,134,642 - Anderson , et al. November 20, 2 | 2018-11-20 |
Field Effect Transistor Gate Stack App 20180330996 - Bao; Ruqiang ;   et al. | 2018-11-15 |
Self-aligned Metal Gate With Poly Silicide For Vertical Transport Field-effect Transistors App 20180331101 - Anderson; Brent A. ;   et al. | 2018-11-15 |
Fabrication Of Field Effect Transistors With Different Threshold Voltages Through Modified Channel Interfaces App 20180331096 - Ando; Takashi ;   et al. | 2018-11-15 |
Gate-all-around field effect transistor having multiple threshold voltages Grant 10,128,347 - Bao , et al. November 13, 2 | 2018-11-13 |
Bottom contact resistance reduction on VFET Grant 10,128,372 - Bao , et al. November 13, 2 | 2018-11-13 |
Self-aligned Spacer For Cut-last Transistor Fabrication App 20180323280 - Bao; Ruqiang ;   et al. | 2018-11-08 |
Vertical Transport Transistors With Equal Gate Stack Thicknesses App 20180315756 - Bao; Ruqiang ;   et al. | 2018-11-01 |
Dual Channel Silicon/silicon Germanium Complementary Metal Oxide Semiconductor Performance With Interface Engineering App 20180315663 - Bao; Ruqiang ;   et al. | 2018-11-01 |
Vertical Transport Transistors With Equal Gate Stack Thicknesses App 20180315755 - Bao; Ruqiang ;   et al. | 2018-11-01 |
Gate-all-around Field Effect Transistor Having Multiple Threshold Voltages App 20180308945 - Bao; Ruqiang ;   et al. | 2018-10-25 |
Etch-resistant spacer formation on gate structure Grant 10,109,722 - Xie , et al. October 23, 2 | 2018-10-23 |
Vertical transport transistors with equal gate stack thicknesses Grant 10,103,147 - Bao , et al. October 16, 2 | 2018-10-16 |
Multi-layer Work Function Metal Gates With Similar Gate Thickness To Achieve Multi-vt For Vfets App 20180294191 - Bao; Ruqiang ;   et al. | 2018-10-11 |
Multi-layer Work Function Metal Gates With Similar Gate Thickness To Achieve Multi-vt For Vfets App 20180294192 - Bao; Ruqiang ;   et al. | 2018-10-11 |
Bottom contact resistance reduction on VFET Grant 10,084,082 - Bao , et al. September 25, 2 | 2018-09-25 |
Uniform threshold voltage for nanosheet devices Grant 10,084,055 - Bao , et al. September 25, 2 | 2018-09-25 |
Additive Core Subtractive Liner For Metal Cut Etch Processes App 20180269306 - Bao; Ruqiang ;   et al. | 2018-09-20 |
Additive Core Subtractive Liner For Metal Cut Etch Processes App 20180269305 - Bao; Ruqiang ;   et al. | 2018-09-20 |
Field effect transistor gate stack Grant 10,079,182 - Bao , et al. September 18, 2 | 2018-09-18 |
Integrated circuit with replacement gate stacks and method of forming same Grant 10,074,574 - Bao , et al. September 11, 2 | 2018-09-11 |
Etch-resistant Spacer Formation On Gate Structure App 20180254331 - Xie; Ruilong ;   et al. | 2018-09-06 |
Self-aligned spacer for cut-last transistor fabrication Grant 10,068,805 - Bao , et al. September 4, 2 | 2018-09-04 |
Uniform Threshold Voltage For Nanosheet Devices App 20180226484 - Bao; Ruqiang ;   et al. | 2018-08-09 |
Nanosheet Capacitor App 20180212017 - Bao; Ruqiang ;   et al. | 2018-07-26 |
Approach To Control Over-etching Of Bottom Spacers In Vertical Fin Field Effect Transistor Devices App 20180212037 - Bao; Ruqiang ;   et al. | 2018-07-26 |
FORMATION OF COMMON INTERFACIAL LAYER ON Si/SiGe DUAL CHANNEL COMPLEMENTARY METAL OXIDE SEMICONDUCTOR DEVICE App 20180211885 - Bao; Ruqiang ;   et al. | 2018-07-26 |
Approach To Control Over-etching Of Bottom Spacers In Vertical Fin Field Effect Transistor Devices App 20180212040 - Bao; Ruqiang ;   et al. | 2018-07-26 |
Nanosheet capacitor Grant 10,032,856 - Bao , et al. July 24, 2 | 2018-07-24 |
Formation Of Full Metal Gate To Suppress Interficial Layer Growth App 20180204839 - BAO; RUQIANG ;   et al. | 2018-07-19 |
Self-aligned spacer for cut-last transistor fabrication Grant 10,020,378 - Bao , et al. July 10, 2 | 2018-07-10 |
Integration of super via structure in BEOL Grant 10,020,254 - Bao , et al. July 10, 2 | 2018-07-10 |
Integration of super via structure in BEOL Grant 10,020,255 - Bao , et al. July 10, 2 | 2018-07-10 |
Gate-all-around Field Effect Transistor Having Multiple Threshold Voltages App 20180190782 - Bao; Ruqiang ;   et al. | 2018-07-05 |
Shared Metal Gate Stack With Tunable Work Function App 20180190784 - Bao; Ruqiang ;   et al. | 2018-07-05 |
Vertical transport fin field effect transistors having different channel lengths Grant 10,008,417 - Bao , et al. June 26, 2 | 2018-06-26 |
Multi-layer work function metal gates with similar gate thickness to achieve multi-Vt for vFETS Grant 10,002,791 - Bao , et al. June 19, 2 | 2018-06-19 |
Shared metal gate stack with tunable work function Grant 10,002,937 - Bao , et al. June 19, 2 | 2018-06-19 |
Low resistive electrode for an extendable high-k metal gate stack Grant 9,997,518 - Bao , et al. June 12, 2 | 2018-06-12 |
Dual channel structures with multiple threshold voltages Grant 9,997,519 - Bao , et al. June 12, 2 | 2018-06-12 |
Threshold Voltage Modulation Through Channel Length Adjustment App 20180122813 - Bao; Ruqiang ;   et al. | 2018-05-03 |
Finfet Transistor Gate And Epitaxy Formation App 20180122952 - Bao; Ruqiang ;   et al. | 2018-05-03 |
Bottom contact resistance reduction on VFET Grant 9,960,272 - Bao , et al. May 1, 2 | 2018-05-01 |
Low resistive electrode for an extendable high-k metal gate stack Grant 9,960,161 - Bao , et al. May 1, 2 | 2018-05-01 |
Finfet Transistor Gate And Epitaxy Formation App 20180114863 - Bao; Ruqiang ;   et al. | 2018-04-26 |
Multiple-threshold Nanosheet Transistors App 20180114833 - Bao; Ruqiang ;   et al. | 2018-04-26 |
Integrated Circuit With Replacement Gate Stacks And Method Of Forming Same App 20180102294 - Bao; Ruqiang ;   et al. | 2018-04-12 |
Integrated metal gate CMOS devices Grant 9,941,282 - Bao , et al. April 10, 2 | 2018-04-10 |
Integrated Circuit With Replacement Gate Stacks And Method Of Forming Same App 20180096900 - Bao; Ruqiang ;   et al. | 2018-04-05 |
Integrated Metal Gate Cmos Devices App 20180090381 - BAO; Ruqiang ;   et al. | 2018-03-29 |
Semiconductor Device And Method Of Forming The Semiconductor Device App 20180090388 - ANDERSON; Brent Alan ;   et al. | 2018-03-29 |
Self-aligned Spacer For Cut-last Transistor Fabrication App 20180083120 - Bao; Ruqiang ;   et al. | 2018-03-22 |
Threshold Voltage Modulation Through Channel Length Adjustment App 20180083013 - Bao; Ruqiang ;   et al. | 2018-03-22 |
Self-aligned Spacer For Cut-last Transistor Fabrication App 20180082905 - Bao; Ruqiang ;   et al. | 2018-03-22 |
Threshold Voltage Modulation Through Channel Length Adjustment App 20180083016 - Bao; Ruqiang ;   et al. | 2018-03-22 |
Threshold Voltage Modulation Through Channel Length Adjustment App 20180083015 - Bao; Ruqiang ;   et al. | 2018-03-22 |
Threshold Voltage Modulation Through Channel Length Adjustment App 20180083017 - Bao; Ruqiang ;   et al. | 2018-03-22 |
Integrated circuit with replacement gate stacks and method of forming same Grant 9,922,884 - Bao , et al. March 20, 2 | 2018-03-20 |
Threshold voltage modulation through channel length adjustment Grant 9,922,983 - Bao , et al. March 20, 2 | 2018-03-20 |
Threshold voltage modulation through channel length adjustment Grant 9,922,984 - Bao , et al. March 20, 2 | 2018-03-20 |
FinFET transistor gate and epitaxy formation Grant 9,917,210 - Bao , et al. March 13, 2 | 2018-03-13 |
Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs Grant 9,905,476 - Bao , et al. February 27, 2 | 2018-02-27 |
Integrated metal gate CMOS devices Grant 9,899,264 - Bao , et al. February 20, 2 | 2018-02-20 |
Field Effect Transistor Stack With Tunable Work Function App 20180047640 - Bao; Ruqiang ;   et al. | 2018-02-15 |
Field Effect Transistor Stack With Tunable Work Function App 20180047639 - Bao; Ruqiang ;   et al. | 2018-02-15 |
Structure And Method To Suppress Work Function Effect By Patterning Boundary Proximity In Replacement Metal Gate App 20180026035 - Bao; Ruqiang ;   et al. | 2018-01-25 |
Integrated Metal Gate Cmos Devices App 20180006033 - Bao; Ruqiang ;   et al. | 2018-01-04 |
Integrated Metal Gate Cmos Devices App 20180005891 - Bao; Ruqiang ;   et al. | 2018-01-04 |
Field effect transistor stack with tunable work function Grant 9,859,169 - Bao , et al. January 2, 2 | 2018-01-02 |
Formation Of A Semiconductor Device With Selective Nitride Grown On Conductor App 20170373063 - Bao; Ruqiang ;   et al. | 2017-12-28 |
Shared Metal Gate Stack With Tunable Work Function App 20170358655 - Bao; Ruqiang ;   et al. | 2017-12-14 |
Structure and method to suppress work function effect by patterning boundary proximity in replacement metal gate Grant 9,818,746 - Bao , et al. November 14, 2 | 2017-11-14 |
Semiconductor device having a gate stack with tunable work function Grant 9,799,656 - Bao , et al. October 24, 2 | 2017-10-24 |
Method to form dual tin layers as pFET work metal stack Grant 9,768,171 - Bao , et al. September 19, 2 | 2017-09-19 |
Semiconductor Device Having A Gate Stack With Tunable Work Function App 20170207219 - Bao; Ruqiang ;   et al. | 2017-07-20 |
Structures With Thinned Dielectric Material App 20170207134 - BAO; Ruqiang ;   et al. | 2017-07-20 |
Field Effect Transistor Gate Stack App 20170207132 - Bao; Ruqiang ;   et al. | 2017-07-20 |
Field Effect Transistor Stack With Tunable Work Function App 20170207131 - Bao; Ruqiang ;   et al. | 2017-07-20 |
Low Resistive Electrode For An Extendable High-k Metal Gate Stack App 20170200720 - Bao; Ruqiang ;   et al. | 2017-07-13 |
Structure And Method To Suppress Work Function Effect By Patterning Boundary Proximity In Replacement Metal Gate App 20170200719 - Bao; Ruqiang ;   et al. | 2017-07-13 |
Low Resistive Electrode For An Extendable High-k Metal Gate Stack App 20170200654 - Bao; Ruqiang ;   et al. | 2017-07-13 |
Self-aligned spacer for cut-last transistor fabrication Grant 9,704,754 - Bao , et al. July 11, 2 | 2017-07-11 |
Forming a semiconductor structure for reduced negative bias temperature instability Grant 9,704,758 - Bao , et al. July 11, 2 | 2017-07-11 |
Etch stop in a dep-etch-dep process Grant 9,691,655 - Bao , et al. June 27, 2 | 2017-06-27 |
Method To Form Dual Tin Layers As Pfet Work Metal Stack App 20170179125 - Bao; Ruqiang ;   et al. | 2017-06-22 |
Etch Stop In A Dep-etch-dep Process App 20170170060 - BAO; RUQIANG ;   et al. | 2017-06-15 |
Forming A Semiconductor Structure For Reduced Negative Bias Temperature Instability App 20170148686 - Bao; Ruqiang ;   et al. | 2017-05-25 |
ALTERNATIVE THRESHOLD VOLTAGE SCHEME VIA DIRECT METAL GATE PATTERNING FOR HIGH PERFORMANCE CMOS FinFETs App 20170133278 - Bao; Ruqiang ;   et al. | 2017-05-11 |
Forming Mosfet Structures With Work Function Modification App 20170133372 - BAO; RUQIANG ;   et al. | 2017-05-11 |
Forming Mosfet Structures With Work Function Modification App 20170133272 - BAO; RUQIANG ;   et al. | 2017-05-11 |
Structures With Thinned Dielectric Material App 20170110376 - BAO; Ruqiang ;   et al. | 2017-04-20 |
Integrated Circuit With Replacement Gate Stacks And Method Of Forming Same App 20170110375 - Bao; Ruqiang ;   et al. | 2017-04-20 |
Integrated circuit with replacement gate stacks and method of forming same Grant 9,589,806 - Bao , et al. March 7, 2 | 2017-03-07 |
Gate stack with tunable work function Grant 9,583,400 - Bao , et al. February 28, 2 | 2017-02-28 |
Forming a semiconductor structure for reduced negative bias temperature instability Grant 9,576,958 - Bao , et al. February 21, 2 | 2017-02-21 |
Semiconductor device having a gate stack with tunable work function Grant 9,559,016 - Bao , et al. January 31, 2 | 2017-01-31 |
Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs Grant 9,553,092 - Bao , et al. January 24, 2 | 2017-01-24 |
ALTERNATIVE THRESHOLD VOLTAGE SCHEME VIA DIRECT METAL GATE PATTERNING FOR HIGH PERFORMANCE CMOS FinFETs App 20160365347 - Bao; Ruqiang ;   et al. | 2016-12-15 |
Forming a semiconductor structure for reduced negative bias temperature instability Grant 9,502,307 - Bao , et al. November 22, 2 | 2016-11-22 |
Pfet Gate Stack Materials Having Improved Threshold Voltage, Mobility And Nbti Performance App 20160163603 - Bao; Ruqiang ;   et al. | 2016-06-09 |
Metal stack for reduced gate resistance Grant 9,343,372 - Bao , et al. May 17, 2 | 2016-05-17 |
Surface Treatment In A Dep-etch-dep Process App 20150111374 - Bao; Ruqiang ;   et al. | 2015-04-23 |