U.S. patent application number 15/904157 was filed with the patent office on 2018-09-27 for method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures.
The applicant listed for this patent is Tokyo Electron Limited. Invention is credited to Alok Ranjan, Sonam D. Sherpa.
Application Number | 20180277386 15/904157 |
Document ID | / |
Family ID | 63253406 |
Filed Date | 2018-09-27 |
United States Patent
Application |
20180277386 |
Kind Code |
A1 |
Sherpa; Sonam D. ; et
al. |
September 27, 2018 |
METHOD OF ANISOTROPIC EXTRACTION OF SILICON NITRIDE MANDREL FOR
FABRICATION OF SELF-ALIGNED BLOCK STRUCTURES
Abstract
A method of preparing a self-aligned block (SAB) structure is
described. The method includes providing a substrate having raised
features defined by a first material containing silicon nitride and
a second material containing silicon oxide formed on side walls of
the first material, and a third material containing an organic
material covering some of the raised features and exposing some
raised features according to a block pattern formed in the third
material. The method further includes forming a first chemical
mixture by plasma-excitation of a first process gas containing H
and optionally a noble gas, and exposing the first material on the
substrate to the first chemical mixture. Thereafter, the method
includes forming a second chemical mixture by plasma-excitation of
a second process gas containing N, F, O, and optionally a noble
element, and exposing the first material on the substrate to the
second plasma-excited process gas to selectively etch the first
material relative to the second and third material.
Inventors: |
Sherpa; Sonam D.; (Albany,
NY) ; Ranjan; Alok; (Austin, TX) |
|
Applicant: |
Name |
City |
State |
Country |
Type |
Tokyo Electron Limited |
Tokyo |
|
JP |
|
|
Family ID: |
63253406 |
Appl. No.: |
15/904157 |
Filed: |
February 23, 2018 |
Related U.S. Patent Documents
|
|
|
|
|
|
Application
Number |
Filing Date |
Patent Number |
|
|
62462770 |
Feb 23, 2017 |
|
|
|
Current U.S.
Class: |
1/1 |
Current CPC
Class: |
H01L 21/0338 20130101;
H01L 21/3086 20130101; H01L 21/3065 20130101; H01L 21/31116
20130101; H01L 21/311 20130101; H01L 21/32137 20130101; H01L 21/768
20130101; H01L 21/0337 20130101; H01L 21/3213 20130101; H01L
21/31144 20130101 |
International
Class: |
H01L 21/311 20060101
H01L021/311; H01L 21/033 20060101 H01L021/033 |
Claims
1. A method of preparing a self-aligned block (SAB) structure,
comprising: providing a substrate having raised features defined by
a first material containing silicon nitride and a second material
containing silicon oxide formed on side walls of the first
material, and a third material containing an organic material
covering some of the raised features and exposing some raised
features according to a block pattern formed in the third material;
forming a first chemical mixture by plasma-excitation of a first
process gas containing H and optionally a noble gas; exposing the
first, second, and third materials on the substrate to the first
chemical mixture; thereafter, forming a second chemical mixture by
plasma-excitation of a second process gas containing S, F, and
optionally a noble element; and exposing the first, second, and
third materials on the substrate to the second plasma-excited
process gas to selectively etch the first material relative to the
second and third materials.
2. The method of claim 1, wherein the first process gas contains
H.sub.2.
3. The method of claim 1, wherein the first process gas consists of
H.sub.2.
4. The method of claim 1, wherein the first process gas consists of
H.sub.2 and Ar.
5. The method of claim 1, wherein the second process gas contains
SF.sub.6 and Ar.
6. The method of claim 1, wherein the second process gas consists
of SF.sub.6 and Ar.
7. The method of claim 1, wherein the first chemical mixture
contains hydrogen ions.
8. The method of claim 1, wherein the second chemical mixture
contains substantially charge-neutral species.
9. The method of claim 1, wherein the second material is selected
from the group consisting of SiO.sub.2 and organic materials.
10. The method of claim 1, wherein the first material includes
raised features on the substrate, the second material forms
sidewall spacers on the vertical portions of the raised features,
and wherein the exposing removes the raised features of the first
material but not the sidewall spacers.
11. The method of claim 1, wherein the plasma excitation of the
first process gas or the second process gas includes generating
plasma using a capacitively coupled plasma source containing an
upper plate electrode, and a lower plate electrode supporting the
substrate.
12. The method of claim 1, wherein the plasma excitation of the
first process gas or the second process gas includes generating
plasma using an inductively coupled plasma source containing an
inductive element, and a lower plate electrode supporting the
substrate.
13. The method of claim 1, wherein the plasma excitation of the
first process gas or the second process gas includes generating
plasma using a remote plasma source that creates a high radical to
ion flux ratio.
14. The method of claim 1, further comprising: repeating the steps
of forming the first chemical mixture, exposing the first material
to the first chemical mixture, forming the second chemical mixture,
and exposing the first material to the second chemical mixture to
incrementally remove additional portions of the first material.
15. The method of claim 1, wherein the first material is removed at
an etch selectivity of greater than 100-to-1 relative to the second
and third materials.
16. The method of claim 1, wherein the raised feature is a mandrel
in a self-aligned multi-patterning process.
17. The method of claim 16, wherein an aspect ratio of the raised
feature exceeds 10.
18. A method of preparing a self-aligned block (SAB) structure,
comprising: providing a substrate having raised features defined by
a first material containing silicon nitride and a second material
containing silicon oxide formed on side walls of the first
material, and a third material containing an organic material
covering some of the raised features and exposing some raised
features according to a block pattern formed in the third material;
forming a first chemical mixture by plasma-excitation of a first
process gas containing H and optionally a noble gas; exposing the
first, second, and third materials on the substrate to the first
chemical mixture; thereafter, forming a second chemical mixture by
plasma-excitation of a second process gas containing a high
fluorine content molecule, and optionally a noble element, wherein
the ratio of fluorine to other atomic elements of the high fluorine
content molecule exceeds unity; and exposing the first, second, and
third materials on the substrate to the second plasma-excited
process gas to selectively etch the first material relative to the
second and third materials.
Description
CROSS REFERENCE TO RELATED APPLICATIONS
[0001] The present application claims the benefit of U.S.
Provisional Patent Application No. 62/462,770, filed on Feb. 23,
2017, entitled "METHOD OF ANISOTROPIC EXTRACTION OF SILICON NITRIDE
MANDREL FOR FABRICATION OF SELF-ALIGNED BLOCK STRUCTURES" which is
incorporated herein by reference in its entirety.
FIELD OF INVENTION
[0002] The invention relates to a method for etching, and more
particularly, a precision etch technique for etching a thin film
for electronic device applications.
BACKGROUND OF THE INVENTION
[0003] The present invention relates to a method of manufacturing a
semiconductor device such as an integrated circuit and transistors
and transistor components for an integrated circuit. In the
manufacture of a semiconductor device (especially on the
microscopic scale), various fabrication processes are executed such
as film-forming depositions, etch mask creation, patterning,
material etching and removal, and doping treatments, are performed
repeatedly to form desired semiconductor device elements on a
substrate. Historically, with microfabrication, transistors have
been created in one plane, with wiring/metallization formed above,
and have thus been characterized as two-dimensional (2D) circuits
or 2D fabrication. Scaling efforts have greatly increased the
number of transistors per unit area in 2D circuits, yet scaling
efforts are running into greater challenges as scaling enters
single digit nanometer semiconductor device fabrication nodes.
Semiconductor device fabricators have expressed a desire for
three-dimensional (3D) semiconductor devices in which transistors
are stacked on top of each other.
[0004] As device structures densify and develop vertically, the
need for precision material etch becomes more compelling.
Trade-offs between selectivity, profile, ARDE (aspect ratio
dependent etching), and uniformity in plasma etch processes become
difficult to manage. Current approaches to patterning and pattern
transfer by balancing these trade-offs is not sustainable. The root
cause for these trade-offs is the inability to control ion energy,
ion flux, and radical flux independently. However, self-limiting
processes, such as atomic layer etching (ALE), offer a viable route
to escape these trade-offs by decoupling the etch process into
sequential steps of surface modification and removal of modified
surface regions, thereby allowing the segregation of the roles of
radical flux and ion flux and energy.
SUMMARY
[0005] Techniques herein pertain to device fabrication using
precision etch techniques.
[0006] A method of etching is described. The method includes
providing a substrate having a first material containing silicon
nitride and a second material that is different from the first
material, forming a first chemical mixture by plasma-excitation of
a first process gas containing H and optionally a noble gas, and
exposing the first material on the substrate to the first chemical
mixture. Thereafter, the method includes forming a second chemical
mixture by plasma-excitation of a second process gas containing S
and F, and optionally a noble element, and exposing the first
material on the substrate to the second plasma-excited process gas
to selectively etch the first material relative to the second
material.
[0007] Another method of etching is described. The method includes
providing a substrate having a first material containing silicon
nitride and a second material that is different from the first
material, forming a first chemical mixture by plasma-excitation of
a first process gas containing H and optionally a noble gas, and
exposing the first material on the substrate to the first chemical
mixture. Thereafter, the method includes forming a second chemical
mixture by plasma-excitation of a second process gas containing
high fluorine content molecule, and optionally a noble element,
wherein the ratio of fluorine to other atomic elements of the high
fluorine content molecule exceeds unity, and exposing the first
material on the substrate to the second plasma-excited process gas
to selectively etch the first material relative to the second
material.
[0008] Of course, the order of discussion of the different steps as
described herein has been presented for clarity sake. In general,
these steps can be performed in any suitable order. Additionally,
although each of the different features, techniques,
configurations, etc. herein may be discussed in different places of
this disclosure, it is intended that each of the concepts can be
executed independently of each other or in combination with each
other. Accordingly, the present invention can be embodied and
viewed in many different ways.
[0009] Note that this summary section does not specify every
embodiment and/or incrementally novel aspect of the present
disclosure or claimed invention. Instead, this summary only
provides a preliminary discussion of different embodiments and
corresponding points of novelty over conventional techniques. For
additional details and/or possible perspectives of the invention
and embodiments, the reader is directed to the Detailed Description
section and corresponding figures of the present disclosure as
further discussed below.
BRIEF DESCRIPTION OF THE DRAWINGS
[0010] In the accompanying drawings:
[0011] FIG. 1 illustrates a schematic representation of a method of
etching a thin film on a substrate according to an embodiment;
[0012] FIG. 2 provides a flow chart illustrating a method of
etching a substrate according to an embodiment;
[0013] FIG. 3 illustrates a result obtained using the method of
etching depicted in FIGS. 1 and 2;
[0014] FIGS. 4 and 5 illustrate additional results obtained using
the method of etching depicted in FIGS. 1 and 2;
[0015] FIGS. 6A through 6D illustrate various exemplary fabrication
sequences to which the method of etching depicted in FIGS. 1 and 2
can be applied according to several embodiments;
[0016] FIGS. 7A and 7B illustrate a schematic representation of a
method of etching a thin film on a substrate according to another
embodiment;
[0017] FIG. 8 provides a flow chart illustrating a method of
etching a substrate according to yet another embodiment; and
[0018] FIGS. 9A through 9D provide schematic illustrations of
plasma processing systems for performing the method of etching
according to various embodiments.
DETAILED DESCRIPTION
[0019] Techniques herein pertain to device fabrication using
precision etch techniques. Several instances manifest in
semiconductor manufacturing in both front end of line (FEOL, e.g.,
transistor fabrication) through to the back end of line (BEOL,
e.g., interconnect fabrication), where oxide and nitride films
(typically silicon-containing, in nature) need to be etched with a
high degree of precision.
[0020] Numerous fabrication sequences in semiconductor
manufacturing demand precision etch techniques. Examples, which
will be discussed later, include: (1) gate spacer etch for both 2D
(two-dimensional) and 3D (three-dimensional) device structures, (2)
spacer etch for sidewall image transfer (SIT) for multi-patterning,
(3) mandrel removal from a post-spacer etch SIT structure, and (4)
liner etch from a raised structure.
[0021] As another example, fabrication of self-aligned block (SAB)
structures has become a critical step in self-aligned double
patterning (SADP), self-aligned quadruple patterning (SAQP), and
other variations of self-aligned multiple patterning (SAMP). As
part of an SAB flow, a silicon nitride mandrel is anisotropically
etched with selectivity to an oxide spacer. Current approaches to
etch silicon nitride mandrel do not have the required selectivity,
which exceeds 15 (i.e., the etch rate of silicon nitride is 15
times greater than the etch rate of silicon oxide) to etch the
mandrel without damaging the oxide spacer.
[0022] This invention relates to development of an anisotropic
process that can etch silicon nitride mandrel with extremely high
selectivity (e.g., >15, or >20, or >30, or >50, or
>80, and even >100) to oxide spacer, thereby enabling SAB
fabrication flows.
[0023] According to several embodiments, FIGS. 1 and 2 illustrate a
method of etching a thin film. The method, depicted as flow chart
200, includes providing a substrate having a first material 100
containing silicon nitride and a second material (not shown) that
is different from the first material 100, forming a first chemical
mixture by plasma-excitation of a first process gas containing H
and optionally a noble gas in step 210, and exposing the first
material on the substrate to the first chemical mixture in step
220, the combination of which is depicted as 102 in FIG. 1.
Thereafter, the method includes forming a second chemical mixture
by plasma-excitation of a second process gas containing N, F, and
O, and optionally a noble element in step 230, and exposing the
first material 100 on the substrate to the second plasma-excited
process gas to selectively etch the first material 100 relative to
the second material in step 240, the combination of which is
depicted as 104 in FIG. 1. Alternatively, the method includes
forming a second chemical mixture by plasma-excitation of a second
process gas containing S and F, and optionally a noble element in
step 230, and exposing the first material 100 on the substrate to
the second plasma-excited process gas to selectively etch the first
material 100 relative to the second material in step 240, the
combination of which is depicted as 104 in FIG. 1.
[0024] The first material 100, to be etched, contains, consists
essentially of, or consists of silicon nitride, expressed as
Si.sub.3N.sub.4, or more generically Si.sub.xN.sub.y, wherein x and
y are real number greater than zero. The second material (not
shown) can include silicon oxide, e.g., SiO.sub.2, or other
silicon-containing material, a metal or metal-containing material,
or an organic material, such as an organic planarization layer
(OPL), resist, or antireflective coating (ARC).
[0025] As set forth above, the first chemical mixture is formed
from the plasma excitation of a first process gas. The first
process gas contains hydrogen (H), and can include atomic hydrogen
(H), molecular hydrogen (H.sub.2), metastable hydrogen, hydrogen
radical, or hydrogen ions, or any combination of two or more
thereof. In one embodiment, the first process gas includes H.sub.2,
or H.sub.2 and Ar. In another embodiment, the first process gas
consists essentially of or consists of H.sub.2. In yet another
embodiment, the first process gas consists essentially of or
consists of H.sub.2 and Ar.
[0026] As also set forth above, the second chemical mixture is
formed from the plasma excitation of a second process gas. The
second process gas can contain a high fluorine content molecule,
wherein the ratio of fluorine to other atomic elements exceeds
unity. The second process gas can contain nitrogen (N), fluorine
(F), and oxygen (O), and can optionally include a noble element,
such as Ar (argon). In one embodiment, the second process gas
includes NF.sub.3, O.sub.2, and Ar. In another embodiment, the
second process gas consists essentially of or consists of NF.sub.3,
O.sub.2, and Ar. Alternatively, the second process gas can contain
sulfur (S) and fluorine (F), and can optionally include a noble
element, such as Ar (argon). In one embodiment, the second process
gas includes SF.sub.6 and Ar. In another embodiment, the second
process gas consists essentially of or consists of SF.sub.6 and
Ar.
[0027] The plasma-excitation of the first process and/or the second
process gas can be performed in-situ (i.e., the first and/or second
chemical mixture is formed within a gas-phase, vacuum environment
in proximate contact with the substrate), or ex-situ (i.e., the
first and/or second chemical mixture is formed within a gas-phase,
vacuum environment remotely located relative to the substrate).
FIGS. 9A through 9D provide several plasma generating systems that
may be used to facilitate plasma-excitation of a process gas. FIG.
9A illustrates a capacitively coupled plasma (CCP) system, wherein
plasma is formed proximate a substrate between an upper plate
electrode (UEL) and a lower plate electrode (LEL), the lower
electrode also serving as an electrostatic chuck (ESC) to support
and retain the substrate. Plasma is formed by coupling radio
frequency (RF) power to at least one of the electrodes. As shown in
FIG. 9A, RF power is coupled to both the upper and lower
electrodes, and the power coupling may include differing RF
frequencies. Alternatively, multiple RF power sources may be
coupled to the same electrode. Moreover, direct current (DC) power
may be coupled to the upper electrode.
[0028] FIG. 9B illustrates an inductively coupled plasma (ICP)
system, wherein plasma is formed proximate a substrate between an
inductive element (e.g., a planar, or solenoidal/helical coil) and
a lower plate electrode (LEL), the lower electrode also serving as
an electrostatic chuck (ESC) to support and retain the substrate.
Plasma is formed by coupling radio frequency (RF) power to the
inductive coupling element. As shown in FIG. 9B, RF power is
coupled to both the inductive element and lower electrode, and the
power coupling may include differing RF frequencies.
[0029] FIG. 9C illustrates a surface wave plasma (SWP) system,
wherein plasma is formed proximate a substrate between a slotted
plane antenna and a lower plate electrode (LEL), the lower
electrode also serving as an electrostatic chuck (ESC) to support
and retain the substrate. Plasma is formed by coupling radio
frequency (RF) power at microwave frequencies through a waveguide
and coaxial line to the slotted plane antenna. As shown in FIG. 9C,
RF power is coupled to both the slotted plane antenna and lower
electrode, and the power coupling may include differing RF
frequencies.
[0030] FIG. 9D illustrates remote plasma system, wherein plasma is
formed in a region remote from a substrate and separated from the
substrate by a filter arranged to impede the transport of charged
particles from the remote plasma source to a processing region
proximate the substrate. The substrate is supported by a lower
plate electrode (LEL) that also serves as an electrostatic chuck
(ESC) to retain the substrate. Plasma is formed by coupling radio
frequency (RF) power to a plasma generating device adjacent the
remotely located region. As shown in FIG. 9D, RF power is coupled
to both the plasma generating device adjacent the remote region and
lower electrode, and the power coupling may include differing RF
frequencies.
[0031] The plasma processing systems of FIGS. 9A through 9D are
intended to be illustrative of various techniques for implementing
the stepped ion/radical process described. Other embodiments are
contemplated including both combinations and variations of the
systems described.
[0032] When forming the first chemical mixture by plasma-excitation
of the first process gas containing H and optionally a noble gas,
and exposing the first material on the substrate to the first
chemical mixture, the gas pressure for the exposing can be less
than or equal to 100 mTorr. For example, the gas pressure may range
from 20 mTorr to 100 mTorr. Additionally, the substrate may be
electrically biased by coupling RF power to the lower plate
electrode (LEL). RF power may or may not also be applied to the
plasma generating device.
[0033] When forming the second chemical mixture by
plasma-excitation of the second process gas containing N, F, and O
(or S and F), and optionally a noble gas, and exposing the second
material on the substrate to the second chemical mixture, the gas
pressure for the exposing can be greater than or equal to 100
mTorr. For example, the gas pressure may range from 100 mTorr to
1000 mTorr. Additionally, the substrate may be electrically biased
by coupling RF power to the lower plate electrode (LEL). RF power
may or may not also be applied to the plasma generating device.
[0034] Turning now to FIG. 3 and Table 1, a silicon nitride film,
deposited by chemical vapor deposition (CVD) (CVD Sin), is exposed
to several etching processes together with an adjacent silicon
oxide film. In a first example, the two films are exposed to a
hydrogen (H.sub.2) plasma only, according to the conditions
provided in Table 1. In this ion-driven hydrogen plasma, the two
films are not etched and no selectivity between films is observed.
In a second example, the two films are exposed to plasma composed
of NF.sub.3, O.sub.2, and Ar. In this radical-driven plasma, eleven
(11) Angstroms are etched from the silicon nitride film and only
one (1) Angstrom is etched from the silicon oxide film, thus,
leading to an etch selectivity of 11-to-1. In a third example, the
two films are sequentially exposed to the hydrogen (H.sub.2)
plasma, and then exposed to the plasma composed of NF.sub.3,
O.sub.2, and Ar. In this ion and radical-driven sequential plasma,
sixty one (61) Angstroms are etched from the silicon nitride film
and substantially no etching is observed of the silicon oxide film,
thus, leading to an etch selectivity exceeding 60-to-1.
TABLE-US-00001 TABLE 1 Tool: CCP, ICP, RLSA H2 plasma: 20-100 mT,
HF 0 W, LF 25-100 W, 500H2/50Ar, 15C, 15-60 sec NF3--O2 plasma:
100-500 mT, HF 0-1000 W, LF 15-100 W, 480NF3/160O2/1000Ar, 15C,
5-60 sec Dominant plasma Etch Amount [.ANG.] Selectivity Step
species CVD SiN Oxide SiN-Oxide H2 plasma only Ion- -0.6 -3 No
driven sputtering NF3--O2 plasma only Radical- 11 1 >11 driven
H2 + NF3--O2 plasma 61 -1 >60
[0035] In a fourth example, the two films are exposed to plasma
composed of SF.sub.6 and Ar. In this radical-driven plasma, about
twenty (20) Angstroms are etched from the silicon nitride film. In
a fifth example, the two films are sequentially exposed to the
hydrogen (H.sub.2) plasma, and then exposed to the plasma composed
of SF.sub.6 and Ar. In this radical and ion-driven sequential
plasma, about one hundred thirty eight (138) Angstroms are etched
from the silicon nitride.
[0036] The inventors surmise that hydrogen ions during the hydrogen
plasma step enrich a surface region of the silicon nitride and the
silicon oxide, leading to elevated sub-surface hydrogen
concentrations; see FIGS. 4 and 5. As shown in FIG. 5, the hydrogen
content increases in region 1 (heavily modified sub-surface region)
to a maximum, then decays through moderate concentration levels in
region 2 (moderately modified sub-surface region), until it decays
to low levels in region 3 (pristine or original material). Then,
the NF.sub.3 and O.sub.2 plasma, or SF.sub.6 and Ar plasma, creates
radicals that selectively react with the hydrogenated silicon
nitride and volatilize at a rate greater than with the second
material, e.g., silicon oxide or organic material. FIG. 3
illustrates the etch amounts achieved with each exemplary process.
And, as shown in FIG. 4, the etch amount achieved during the
NF.sub.3 and O.sub.2, or SF.sub.6 and Ar, step decreases (or the
etch rate decays) as the etching proceeds through the sub-surface
regions from relatively high hydrogen concentration to relatively
low hydrogen concentration.
[0037] In FIGS. 6A through 6D, several examples of fabrication
sequences in semiconductor manufacturing that demand precision etch
techniques are provided. In each example, it is necessary to remove
silicon nitride with high selectivity to other materials, and the
examples include: (1) gate spacer etch for both 2D
(two-dimensional) and 3D (three-dimensional) device structures, (2)
spacer etch for sidewall image transfer (SIT) for multi-patterning,
(3) mandrel removal from a post-spacer etch SIT structure, and (4)
liner etch from a raised structure. FIG. 6A illustrates selectively
removing a silicon nitride 615 from the cap region of the gate
structure 610. FIG. 6B illustrates selectively removing a silicon
nitride 625 from a cap region and footer region surrounding a
mandrel 620 utilized in a self-aligned multi-patterning (SAMP)
scheme. FIG. 6C illustrates selectively removing a silicon nitride
mandrel 635 from a post-spacer etch structure 630 to leave behind
double patterned spacer structures. FIG. 6D illustrates selectively
removing silicon nitride liners 645 to leave behind a raised
feature 640.
[0038] In yet another example, the fabrication of self-aligned
block (SAB) structures has become a critical step in self-aligned
double patterning (SADP), self-aligned quadruple patterning (SAQP),
and other variations of self-aligned multiple patterning (SAMP). As
part of an SAB flow, a silicon nitride mandrel is anisotropically
etched with selectivity to an oxide spacer. Current approaches to
etch silicon nitride mandrel do not have the required selectivity,
which exceeds 15 (i.e., the etch rate of silicon nitride is 15
times greater than the etch rate of silicon oxide) to etch the
mandrel without damaging the oxide spacer.
[0039] As shown in FIG. 7A, a substrate 700 can include a patterned
layer 720 overlying a film stack 710, including one or more
optional layers 712, 714, 716 to be etched or patterned. The
patterned layer 720 can define an open feature pattern overlying
one or more additional layers. The substrate 700 further includes
device layers. The device layers can include any thin film or
structure on the workpiece into which a pattern is to be
transferred, or a target material is to be removed. Furthermore,
the patterned layer 720 can include a retention layer 722, and a
target layer 724 to be removed.
[0040] The target layer 724 can be composed of silicon nitride. As
shown in FIG. 7A, the target layer 724 fills a trench or via 725
within retention layer 722, the trench or via 725 has a depth (D)
727, a width (W) 726, and an aspect ratio (D/W). The aspect ratio
can be greater than 3, 4, or 5. For some structures, the aspect
ratio can be greater than 10, 15, or even 20. The width (W) 726 can
be less than 50 nm, 40 nm, 30 nm, or 20 nm. In some applications,
the width (W) 726 is less than 10 nm. The retention layer 722 can
be composed of material selected from the group consisting of
silicon oxide (SiO.sub.x), silicon oxynitride (SiO.sub.xN.sub.y),
transition metal oxide (e.g., titanium oxide (TiO.sub.x)),
transition metal nitride (e.g., titanium oxide (TiN.sub.y)), and
silicon-containing organic material having a silicon content
ranging from 15% by weight to 50% by weight silicon.
[0041] As an example, the patterned layer 720 in FIG. 7A can
include a spacer layer surrounding a mandrel layer used in
multi-patterning schemes. Alternatively, for example, the patterned
layer 720 in FIG. 1A can include a dummy silicon nitride layer
filling a region to be replaced with an advanced gate structure,
such as a metal gate structure.
[0042] The substrate 700 can include a bulk silicon substrate, a
single crystal silicon (doped or un-doped) substrate, a
semiconductor-on-insulator (SOI) substrate, or any other
semiconductor substrate containing, for example, Si, SiC, SiGe,
SiGeC, Ge, GaAs, InAs, InP, as well as other III/V or II/VI
compound semiconductors, or any combination thereof (Groups II,
III, V, VI refer to the classical or old IUPAC notation in the
Periodic Table of Elements; according to the revised or new IUPAC
notation, these Groups would refer to Groups 2, 13, 15, 16,
respectively). The substrate 700 can be of any size, for example, a
200 mm (millimeter) substrate, a 300 mm substrate, a 450 mm
substrate, or an even larger substrate. The device layers can
include any film or device structure into which a pattern can be
transferred.
[0043] Organic layer 721 blankets various regions of substrate 700,
and exposes block regions within which the silicon nitride mandrel
is to be removed from high aspect ratio features. In FIG. 7B, the
silicon nitride mandrel 714 is selectively removed with minimal
impact to the silicon oxide spacers and the organic fill layer
721.
[0044] FIG. 8 depicts a flow chart 800 for etching a substrate
according to another embodiment. In 810, a self-aligned block (SAB)
structure is prepared. And, in 820, a mandrel is removed from an
exposed region of the SAB structure. FIG. 2 depicts a method of
selectively etching a silicon nitride mandrel from a high expect
ratio feature to leave behind silicon oxide spacers. The aspect
ratio can exceed ten (10, and the etch selectivity for removing the
silicon nitride mandrel relative to other materials, e.g., silicon
oxide and organic material, can exceed 20-to-1, or 50-to-1, or even
100-to-1.
[0045] In the claims below, any of the dependents limitations can
depend from any of the independent claims.
[0046] In the preceding description, specific details have been set
forth, such as a particular geometry of a processing system and
descriptions of various components and processes used therein. It
should be understood, however, that techniques herein may be
practiced in other embodiments that depart from these specific
details, and that such details are for purposes of explanation and
not limitation. Embodiments disclosed herein have been described
with reference to the accompanying drawings. Similarly, for
purposes of explanation, specific numbers, materials, and
configurations have been set forth in order to provide a thorough
understanding. Nevertheless, embodiments may be practiced without
such specific details. Components having substantially the same
functional constructions are denoted by like reference characters,
and thus any redundant descriptions may be omitted.
[0047] Various techniques have been described as multiple discrete
operations to assist in understanding the various embodiments. The
order of description should not be construed as to imply that these
operations are necessarily order dependent. Indeed, these
operations need not be performed in the order of presentation.
Operations described may be performed in a different order than the
described embodiment. Various additional operations may be
performed and/or described operations may be omitted in additional
embodiments.
[0048] "Substrate" or "target substrate" as used herein generically
refers to an object being processed in accordance with the
invention. The substrate may include any material portion or
structure of a device, particularly a semiconductor or other
electronics device, and may, for example, be a base substrate
structure, such as a semiconductor wafer, reticle, or a layer on or
overlying a base substrate structure such as a thin film. Thus,
substrate is not limited to any particular base structure,
underlying layer or overlying layer, patterned or un-patterned, but
rather, is contemplated to include any such layer or base
structure, and any combination of layers and/or base structures.
The description may reference particular types of substrates, but
this is for illustrative purposes only.
[0049] Those skilled in the art will also understand that there can
be many variations made to the operations of the techniques
explained above while still achieving the same objectives of the
invention. Such variations are intended to be covered by the scope
of this disclosure. As such, the foregoing descriptions of
embodiments of the invention are not intended to be limiting.
Rather, any limitations to embodiments of the invention are
presented in the following claims.
* * * * *