Method Of Forming Epi Film In Substrate Trench

Xu; Jeff J.

Patent Application Summary

U.S. patent application number 12/784207 was filed with the patent office on 2011-05-05 for method of forming epi film in substrate trench. This patent application is currently assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.. Invention is credited to Jeff J. Xu.

Application Number20110101421 12/784207
Document ID /
Family ID43924453
Filed Date2011-05-05

United States Patent Application 20110101421
Kind Code A1
Xu; Jeff J. May 5, 2011

METHOD OF FORMING EPI FILM IN SUBSTRATE TRENCH

Abstract

The present disclosure provides a method of fabricating a semiconductor device that includes providing a semiconductor substrate, forming a trench in the substrate, where a bottom surface of the trench has a first crystal plane orientation and a side surface of the trench has a second crystal plane orientation, and epitaxially (epi) growing a semiconductor material in the trench. The epi process utilizes an etch component. A first growth rate on the first crystal plane orientation is different from a second growth rate on the second crystal plane orientation.


Inventors: Xu; Jeff J.; (Jhubei City, TW)
Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
Hsin-Chu
TW

Family ID: 43924453
Appl. No.: 12/784207
Filed: May 20, 2010

Related U.S. Patent Documents

Application Number Filing Date Patent Number
61256431 Oct 30, 2009

Current U.S. Class: 257/255 ; 257/E21.09; 257/E29.003; 438/478
Current CPC Class: H01L 29/1608 20130101; H01L 21/845 20130101; H01L 21/02532 20130101; H01L 29/045 20130101; H01L 21/3065 20130101; H01L 21/02433 20130101; H01L 29/32 20130101; H01L 29/20 20130101; H01L 21/823814 20130101; H01L 29/66628 20130101; H01L 29/16 20130101; H01L 29/7848 20130101; H01L 29/165 20130101; H01L 29/66795 20130101; H01L 21/02381 20130101; H01L 21/84 20130101; H01L 21/823821 20130101; H01L 29/7851 20130101; H01L 21/02639 20130101; H01L 29/66636 20130101; H01L 21/02521 20130101; H01L 21/823807 20130101
Class at Publication: 257/255 ; 438/478; 257/E29.003; 257/E21.09
International Class: H01L 29/04 20060101 H01L029/04; H01L 21/20 20060101 H01L021/20

Claims



1. A method of fabricating a semiconductor device, comprising: providing a semiconductor substrate; forming a trench in the substrate, wherein a bottom surface of the trench has a first crystal plane orientation and a side surface of the trench has a second crystal plane orientation; and epitaxially (epi) growing a semiconductor material in the trench; wherein the epi process utilizes an etch component, and wherein a first growth rate on the first crystal plane orientation is different from a second growth rate on the second crystal plane orientation.

2. The method of claim 1, wherein the semiconductor material includes one of silicon (Si), silicon germanium (SiGe), germanium (Ge), silicon carbide (SiC), and III-V compound semiconductor.

3. The method of claim 2, wherein the III-V compound semiconductor includes one of GaAs and InSb

4. The method of claim 1, wherein the etch component includes one of a Cl containing gas and a Br containing gas.

5. The method of claim 4, wherein the etch component includes HCl.

6. The method of claim 1, wherein the substrate includes one of silicon (Si) and germanium (Ge).

7. The method of claim 1, wherein the first crystal plane orientation includes [100] and wherein the second crystal plane orientation includes one of [110] and [111].

8. The method of claim 1, wherein the first growth rate is greater than the second growth rate.

9. A semiconductor device, comprising: a semiconductor substrate; and a transistor including: a gate structure disposed over the substrate; and a strained feature formed within the substrate, the strained feature being formed of an epi film by a bottom-up growth process such that a side surface of the epi-film is substantially free of dislocation defects.

10. The semiconductor device of claim 9, wherein the substrate includes silicon (Si).

11. The semiconductor device of claim 9, wherein the strained feature has a bottom surface that interfaces a first crystal plane orientation of the substrate, and the side surface that interfaces a second crystal plane orientation of the substrate, the first crystal plane orientation being different from the second crystal plane orientation.

12. The semiconductor device of claim 11, wherein the first crystal plane orientation includes [100] and wherein the second crystal plane orientation includes one of [110] and [111].

13. The semiconductor device of claim 9, wherein the epi film includes Ge and wherein the transistor includes a PMOS device.

14. The semiconductor device of claim 13, wherein the transistor includes a FinFET and wherein the stained feature forms a portion of a fin.

15. The semiconductor device of claim 13, wherein the transistor includes a planar FET.

16. A method of fabricating a semiconductor device, comprising: providing a semiconductor substrate; forming a trench in the substrate, wherein a first surface of the trench has a first crystal plane orientation and a second surface of the trench has a second crystal plane orientation; and performing an epitaxial (epi) growth process to grow an epi film in the trench, the epi growth process incorporating an etch component; wherein a first growth rate on the first crystal plane orientation is different from a second growth rate on the second crystal plane orientation such that the etch component prohibits growth on one of the first and second crystal plane orientations.

17. The method of claim 16, wherein the first growth rate is greater than the second growth rate.

18. The method of claim 16, wherein the semiconductor material includes one of silicon (Si), silicon germanium (SiGe), germanium (Ge), silicon carbide (SiC), and III-V compound semiconductor.

19. The method of claim 18, wherein the semiconductor substrate includes silicon (Si).

20. The method of claim 19, wherein the etch component includes one of a Cl containing gas and a Br containing gas.
Description



PRIORITY DATA

[0001] This application claims priority to Provisional Application Ser. No. 61/256,431 filed on Oct. 30, 2009, the entire disclosure of which is incorporated herein by reference.

BACKGROUND

[0002] When a semiconductor device such as a metal-oxide-semiconductor field-effect transistors (MOSFETs) is scaled down through various technology nodes, high-k dielectric material and metal gate are adopted to form a gate stack. Strained structures utilizing epitaxy silicon germanium (SiGe) and silicon carbon (SiC) films may be used to enhance carrier mobility. In addition, channel-last integration schemes may also become a requirement for low melting temperature III-V high mobility channel materials such as InAs and InSb to avoid impact from high thermal budget associated with source/drain formation. However, current techniques to form these strained structures and channel-last transistors have not been satisfactory in all respects. For example, Si N-channel strain is limited to problematic SiC films and no solution for Ge P-channel strain has been found. It is even more challenging to realize epitaxy films for channel-last transistors with conventional epitaxial growth techniques.

SUMMARY

[0003] One of the broader forms of an embodiment of the present invention involves a method of fabricating a semiconductor device. The method includes providing a semiconductor substrate; forming a trench in the substrate, wherein a bottom surface of the trench has a first crystal plane orientation and a side surface of the trench has a second crystal plane orientation; and epitaxially (epi) growing a semiconductor material in the trench. The epi process utilizes an etch component. A first growth rate on the first crystal plane orientation is different from a second growth rate on the second crystal plane orientation.

[0004] Another one of the broader forms of an embodiment of the present invention involves a semiconductor device. The semiconductor device includes a semiconductor substrate and a transistor. The transistor includes a gate structure disposed over the substrate and strained source and drain features having a strained film structure.

[0005] Yet another one of the broader forms of an embodiment of the present invention involves a method of fabricating a semiconductor device. The method includes providing a semiconductor substrate; forming a trench in the substrate, wherein a first surface of the trench has a first crystal plane orientation and a second surface of the trench has a second crystal plane orientation; and performing an epitaxial (epi) growth process to grow an epi film in the trench, the epi growth process incorporating an etch component. A first growth rate on the first crystal plane orientation is different from a second growth rate on the second crystal plane orientation such that the etch component prohibits growth on one of the first and second crystal plane orientations.

BRIEF DESCRIPTION OF THE DRAWINGS

[0006] Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.

[0007] FIG. 1 is a flow chart of a method of forming an epi film in a substrate trench utilizing a bottom-up growth technique according to various aspect of the present disclosure;

[0008] FIGS. 2A-2C are cross-sectional views of an epi film being formed in a substrate trench according to the method of FIG. 1;

[0009] FIG. 3 is a flow chart of a method of fabricating a semiconductor device with strained structures according to various aspects of the present disclosure;

[0010] FIGS. 4A-4F are cross-sectional views of an embodiment of a semiconductor device at various stages of fabrication according to the method of FIG. 3; and

[0011] FIGS. 5A-5D are perspective views of another embodiment of a semiconductor device at various stages of fabrication.

DETAILED DESCRIPTION

[0012] It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of various embodiments. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed. Moreover, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.

[0013] Referring to FIG. 1, illustrated is a flow chart of a method 50 of forming an epi film in a substrate trench using a bottom-up growth technique according to an embodiment of the present disclosure. The method 50 begins with block 52 in which a semiconductor substrate is provided. The method 50 continues with block 54 in which a trench is formed in the substrate. The trench includes a bottom surface with a first crystal plane orientation and side surface with a second crystal plane orientation. The method 50 continues with block 56 in which an epi film is formed in the trench by a bottom-up growth technique. The bottom-up growth technique comprises an epitaxial growth process that incorporates an etch component. A growth rate on the first crystal plane orientation is different from a growth rate on the second crystal plane orientation.

[0014] Referring to FIGS. 2A-2C, illustrated is a crystal structure being formed according to an embodiment of the method 50 of FIG. 1. In an embodiment, a crystal is grown in a substrate such as a silicon (Si) trench. The crystal may be the same or different from the substrate. In various embodiments, the crystal includes Si, SiGe, Ge, SiC, or other suitable semiconductor materials. In FIG. 2A, a semiconductor substrate 102 is provided which includes a silicon (Si) substrate or other semiconductor substrate, such as Ge or III-V compound semiconductor, in a crystalline structure. In another embodiment, the semiconductor substrate 102 may include an epitaxial (epi) layer. A trench 104 is formed in the substrate 102 by an etching or other suitable process. The trench 104 includes a bottom surface 106 that has a crystal plane orientation, e.g., [100], and side surfaces 108 that have a crystal plane orientation, e.g., [110] or [111]. It should be noted that specified crystal plane orientations are mere examples and that other crystal plane orientations may be utilized as will be apparent in light of the discussion that follows.

[0015] In FIG. 2B, a bottom-up growth process 110 is performed to grow an epitaxial film (epi film) in the substrate trench 104. Accordingly, the bottom-up growth process utilizes a precursor or precursors. In the case of Ge epi growth, GeH4 may be used having a flow rate ranging from about 5 to 10 sccm with an etch component 120 such as HCl having a flow rate ranging from about 10 to about 30 sccm. In some embodiments, the etch component 120 may includes other Cl, or Br containing gases such as Cl2, BCl3, BiCl3, and BiBr3. In other embodiments, F containing gases such as NF3 and HF may be used, however, some of the STI oxide and other dielectric (SiO2, SiN) hard-mask may be etched by such F based gases. The bottom up growth process 110 implements a temperature suitable for the epi film growth. For Ge epi growth, a temperature ranging from about 450 to about 550.degree. C. and a total pressure ranging from about 20 to 760 Torr could be used. It should be noted that the etch component such as HCl is sensitive to the temperature and thus the temperature can be tuned to achieved the desired etching effect as discussed below. Further, it is understood that other ranges may be used in applications with different types of crystal materials.

[0016] The growth rate on the bottom surface 106 is different from the growth rate on the side surfaces 108 due to the different crystal plane orientations of the substrate 102 such as silicon. In an embodiment, it has been observed that Ge growth on the [100] crystal plane (bottom surface 106) is about three times (3.times.) faster than the Ge growth on the [110] crystal plane (side surfaces 108). Further, the Ge growth rate on silicon [111] crystal plane is expected to be slower than on silicon [110] crystal plane. Accordingly, the bottom-up growth process 110 incorporates the etch component 120 to promote bottom-up Ge growth by preventing Ge from growing on the side surfaces 108 of the silicon trench 104. For example, Ge is grown from the bottom surface 106 of the silicon trench 104 at a higher rate than that of Ge grown from the side surfaces 108 of the silicon trench 104. The etch component 120 removes the Ge disposed on the side surfaces 108 as well as some Ge disposed from the bottom surface 106. However, since the Ge growth rate from the bottom surface 106 is greater than from the side surface 106, the net effect is that Ge will substantially grow from the bottom-up.

[0017] In FIG. 2C, the bottom-up growth process 110 continues until a desired thickness is achieved. It is noted that there will be some dislocation defects at the bottom portion if lattice mismatch is substantially large between substrate and epi film. As such, there will be a portion of the epi film crystal at the bottom portion 130 of the trench that includes dislocation defects due to mismatch between the substrate lattice and the epi film lattice. Ge epi growth in a Si trench is a typical example. However, in some other embodiments, there will not be any dislocation defects at the bottom portion when the lattice mismatch is small between substrate and epi film, e.g., GaAs growth in Ge trench.

[0018] Further, there will be an upper portion 140 above the bottom portion 130 where the large lattice mismatch epi layer like Ge crystal is substantially defect free. Moreover, it is noted that dislocation defects are not present on the side surfaces 108 since the large lattice mismatch epi film growth from the side surfaces was prohibited by the etch component 120. Thus, the bottom-up growth process 110 traps dislocation defects, if any, at the bottom portion 130 of the trench, and forms a substantially defect-free pure single crystal, e.g., Ge structure, at the upper portion 140 of the substrate, e.g., Si trench. Accordingly, the defect-free epi film structure like Ge crystal could be used either as a compressive or tensile strain stressor into the substrate 102 due to having a large or small lattice constant than the substrate or a transistor channel with or without a desired compressive or tensile strain.

[0019] Although the discussion above uses pure Ge crystal as an example, the bottom-up growth technique may be implemented to grow other types of crystal structures such as silicon carbide (SiC) for NMOS device stressor, III-V compound semiconductors such as GaAs and InSb can be grown in Si or Ge trench as channel materials. For SiC growth, the etchant component may be the same one used for Ge growth. For III-V compound semiconductors, the etch component may include Cl or Br containing gases.

[0020] Further, it is noted that other process parameters may be tuned to modulate the growth rate on different crystal planes. For example, temperature, pressure, etching gas flow, carrying gas flow, and deposition gas flow, and combinations thereof may be used to modulate the growth rate. Moreover, the bottom-up growth technique is fully compatible and can easily be integrated with current fabrication technologies such as CMOS technology processing.

[0021] Referring to FIG. 3, illustrated is a flow chart of a method 200 of fabricating a semiconductor device according to various aspects of the present disclosure. The method 200 begins with block 202 in which a semiconductor substrate is provided. The method 200 continues with block 204 in which a gate structure is formed on the substrate. The method 200 continues with block 206 in spacers are formed on sidewalls of the gate structure, respectively. The method continues with block 208 in which a recess is formed in the substrate at either side of the gate structure. The method 200 continues with block 210 in which a semiconductor material is epitaxially grown to fill the recess using bottom-up growth that incorporates an etch component. The method 200 continues with block 212 in which fabrication of the semiconductor device is completed. The discussion that follows illustrates various embodiments of a semiconductor device that can be fabricated according to the method 200 of FIG. 3.

[0022] Referring to FIGS. 4A-4F, illustrated is an embodiment of a semiconductor device 300 at various stages of fabrication according to the method 200 of FIG. 3. It is understood that FIGS. 4A-4F have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. In FIG. 4A, the semiconductor device 300 includes a substrate 302. The substrate 302 includes a silicon substrate. In another embodiment, the semiconductor substrate 302 may include an epitaxial layer. For example, the substrate 302 may have an epitaxial layer overlying a bulk semiconductor. The substrate 302 further includes doped regions such as p-wells and n-wells. Furthermore, the substrate 302 may include a semiconductor-on-insulator (SOI) structure such as a buried dielectric layer. Alternatively, the substrate 302 may include a buried dielectric layer such as a buried oxide (BOX) layer, such as that formed by a method referred to as separation by implantation of oxygen (SIMOX) technology, wafer bonding, selective epitaxial growth (SEG), or other proper method. The semiconductor device 300 includes active regions defined in the substrate 302.

[0023] Various shallow trench isolation (STI) structures 304 are formed in the semiconductor substrate for isolating the various active regions. The formation of STI may include etching a trench in a substrate and filling the trench by insulator materials such as silicon oxide, silicon nitride, or silicon oxynitride. The filled trench may have a multi-layer structure such as a thermal oxide liner layer with silicon nitride filling the trench. In one embodiment, the STI structure may be created using a process sequence such as: growing a pad oxide, forming a low pressure chemical vapor deposition (LPCVD) nitride layer, patterning an STI opening using photoresist and masking, etching a trench in the substrate, optionally growing a thermal oxide trench liner to improve the trench interface, filling the trench with CVD oxide, using chemical mechanical planarization (CMP) to etch back, and using nitride stripping to leave the STI structure.

[0024] One or more operational devices are formed in the active regions. The operational devices include n-type and p-type metal-oxide-semiconductor field-effect transistors (NMOS and PMOS devices). The operational devices are configured as an array of NMOS devices and an array of PMOS devices. The NMOS and PMOS devices may be fabricated by CMOS technology processing. Accordingly, it is understood that additional processes may be provided before, during, and after the method 200 of FIG. 3, and that some other processes may only be briefly described herein. Each NMOS and PMOS device includes a gate structure formed on the semiconductor substrate 302. The gate structure includes a gate dielectric 306 and a gate electrode 308. The gate dielectric 306 may include silicon oxide, silicon nitride, high-k dielectric, or other suitable material. The high-k dielectric layer may include a binary or ternary high-k film such as HfOx. Alternatively, the high-k dielectric layer 306 may optionally include other high-k dielectrics such as LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr) TiO3 (BST), Al2O3, Si3N4, oxynitrides, or other suitable materials. The gate dielectric is formed by a suitable process such as an atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), thermal oxidation, UV-ozone oxidation, or combinations thereof.

[0025] The gate electrode 308 may include polysilicon (or poly). For example, silane (SiH.sub.4) may be used as a chemical gas in a CVD process to form the poly. The poly layer may include a thickness ranging from about 400 to about 800 Angstrom (.ANG.). The gate structure may further include a hard mask layer 310 formed on the gate electrode 308. The hard mask layer 310 include silicon oxide. Alternatively, the hard mask layer 310 may optionally silicon nitride, silicon oxynitride, and/or other suitable dielectric materials, and may be formed using a method such as CVD or PVD. The hard mask layer 310 may include a thickness ranging from about 100 to about 400 Angstrom (.ANG.).

[0026] The semiconductor device 300 includes an offset spacer 312 formed on each sidewall of the gate structures. The offset spacer 312 may include silicon oxide and silicon nitride. The offset spacer 312 may be formed by CVD, PVD, ALD, plasma enhanced CVD (PECVD), or other suitable technique. An ion implantation process may be performed to form lightly doped source/drain regions (LDD) in the substrate 302 (not shown). The ion implantation process may utilize p-type dopants (e.g., B or In) for the PMOS device and n-type dopants (P or As) for the NMOS device.

[0027] The semiconductor device 300 further includes an oxide layer 314 formed over the substrate 302 and the gate structures. The oxide layer may be formed by CVD, PVD, ALD, or other suitable technique. The oxide layer 314 includes a thickness ranging from about 2 to about 4 nm. The semiconductor device 300 further includes a nitride layer 316 formed over the oxide layer 314. The nitride layer 316 may be formed of silicon nitride. The nitride layer 316 may be formed by CVD, PVD, ALD, or other suitable technique. The nitride layer 316 includes a thickness ranging from about 10 to about 15 nm. The oxide layer 314 and nitride layer 316 may be etched to form spacers that are used in the formation of the strained source and drain features as discussed below. Accordingly, the thicknesses of the oxide layer 314 and the nitride layer 316 may determine how far the strained source and drain features will be spaced from a channel region of the PMOS device.

[0028] A patterned photoresist layer 320 is formed to protect the NMOS devices. The patterned photoresist layer 320 may be formed by a photolithography process. An exemplary photolithography process may include processing steps of photoresist coating, soft baking, mask aligning, exposing, post-exposure baking, developing photoresist and hard baking. The photolithography exposing process may also be implemented or replaced by other proper techniques such as maskless photolithography, electron-beam writing, ion-beam writing, and molecular imprint.

[0029] In FIG. 4B, an etching process 324 is performed to remove portions of the nitride layer 316 directly overlying the substrate 302. In the present embodiment, the etching process 224 includes a dry etching process that utilizes a gas combination of CHxFy/O2 or SF6/CHxFy/He (where x=1 to 3 and y=4-x) or other suitable gas combination. The dry etching provides directional etching (e.g., anisotropic etching) such that some portions of the nitride layer 316a remain over the sidewalls of the gate structure following the etching process 324.

[0030] In FIG. 4C, an etching process 328 is performed to break through the oxide 314 directly overlying the substrate 302. The etching process 328 includes a dry etching process that utilizes a gas combination of CF4/Cl2/HBr/He or other suitable gas combination. Therefore, following the etching process 328, a portion of the oxide layer 314a and the nitride layer 316a remain of the sidewalls of the gate structure of the PMOS device thereby forming spacers 330.

[0031] In FIG. 4D, an etching process 332 is performed to etch a recess 340 in the substrate 302. The etching process 332 includes a dry etching process that utilizes a combination of HBr/Cl2/O2/He, a pressure ranging from about 1 mT to about 1000 mT, a power ranging from about 50 W to about 1000 W, a bias voltage ranging from about 100 V to about 500 V, an HBr flow rate ranging from about 10 sccm to about 500 sccm, a Cl2 flow rate ranging from about 0 sccm to about 500 sccm, an O2 flow rate ranging from about 0 sccm to about 100 sccm, and an He flow rate ranging from about 0 sccm to about 1000 sccm. The dry etching removes portions of the silicon substrate 302 that are unprotected or exposed. Accordingly, the recess 340 has vertical sidewalls that are aligned with the spacers 330 due to the directional/anisotropic etching. The recess 340 may have a depth 342 ranging from about 400 to about 800 Angstrom (.ANG.).

[0032] In FIG. 4E, an epitaxy (epi) process 350 is performed to deposit a semiconductor material in the recess 340. The patterned photoresist 320 protecting the NMOS devices is removed prior to the epi process 350. A pre-cleaning process may be performed to clean the recess 340 with HF or other suitable solution. In the present embodiment, the epi process 350 is similar to the bottom-up growth process 110 discussed with reference to FIGS. 2A-2C. Accordingly, a pure Ge crystal structure 355 is grown in the recess 340 to form strained source and drain features. It is noted that there may be some dislocation defects that are trapped at a bottom portion 357 of the Ge structure 355, however these defects should not adversely impact the performance of the PMOS device. Additionally, in some embodiments, the Ge 355 is deposited such that it is raised a distance above the surface of the substrate 302.

[0033] As previously discussed, current SiGe structures that are implemented for strained source and drain features are limited as to the amount of strain that can be generated. The concentration of Ge in the SiGe structure determines the amount of strain generated, and thus increasing the Ge concentration will increase the amount of strain. However, the Ge concentration can only be increased up to a certain level so as to achieve a sufficient critical thickness for the strained SiGe structure. Here, in the present embodiment, defect-free pure Ge crystal is formed for the strained source and drain features or high mobility channel. As such, the Ge crystal 355 will generate a significant amount of compressive strain (e.g., about 4 GPa or more) 359 to enhance hole mobility and improve PMOS device performance on Si or SiGe substrate. Thus, other types of stressors such as contact etch stop layer (CESL) will no longer be needed since the Ge source and drain by itself will provide more than 4 GPa compressive channel strain to achieve a maximum Si hole mobility. In furtherance of the present embodiment, the Ge 250 may be in-situ doped with p-type impurities, such as B or In, to form source and drain regions of the PMOS device.

[0034] In FIG. 4F, an etching process 360 is performed to remove the nitride layer 316 overlying the NMOS device and nitride layer 316a overlying the PMOS device. The etching process 360 includes a wet etching utilizing H3PO4 or other suitable etchant. The wet etching is selected so that a slow etch rate is achieved to protect the poly. The semiconductor device 300 continues with processing to complete fabrication as discussed briefly below. For example, source/drain regions for the NMOS device may be formed by ion implantation of n-type dopants such as P or As. In another embodiment, silicon carbide (SiC) may be deposited in the silicon substrate trench to form the source/drain regions of the NMOS device by the bottom-up growth technique as described above. Additionally, silicide features are formed on the raised source/drain features to reduce the contact resistance. The silicide can be formed on the sources/drains by a process including depositing a metal layer, annealing the metal layer such that the metal layer is able to react with silicon to form silicide, and then removing the non-reacted metal layer.

[0035] An inter-level dielectric (ILD) layer is formed on the substrate and a chemical mechanical polishing (CMP) process is further applied to the substrate to polish the substrate. In an embodiment, the gate electrode 308 remains poly in the final device. In another embodiment, the poly is removed and replaced with a metal in a gate last or gate replacement process. In a gate last process, the CMP process on the ILD layer is continued until the poly surface is exposed, and an etching process is performed to remove the poly thereby forming trenches. The trenches are filled with a proper work function metal (e.g., p-type work function metal and n-type work function metal) in the PMOS devices and the NMOS device. A multilayer interconnection (MLI) is formed on the substrate to electrically connect various device features to form a integrated circuit. The multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines. The various interconnection features may implement various conductive materials including copper, tungsten and silicide. In one example, a damascene process is used to form copper multilayer interconnection structure.

[0036] Referring to FIGS. 5A-5D, illustrated is another embodiment of a semiconductor device 400 at various stages of fabrication according to various aspects of the present disclosure. The semiconductor device 400 includes a FinFET element. It is understood that FIGS. 5A-5D have been simplified to better understand the inventive concepts of the present embodiment, and thus some known features and processes have been omitted. In FIG. 5A, the semiconductor device 400 includes a substrate (not shown). The substrate may be silicon in a crystalline structure. The semiconductor device 400 includes a plurality of fins 404 that extend from the substrate. Although only one fin is shown, it is understood that the number of fins may vary depending on the particular application. The fins 404 include silicon. The fins 404 may be fabricated by using suitable process such as photolithography and etch. For example, the photolithography process may include forming a photoresist layer (resist) overlying the substrate (e.g., on a silicon layer), exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form a masking element including the resist. The masking element may then be used to etch fins 404 from the substrate. The fins 404 may be etched using reactive ion etch (RIE) and/or other suitable processes. Shallow trench isolation (STI) structures 406 surround the fins 404 and isolate each fin from the other fins. The STI structures 406 may include any suitable insulating material.

[0037] In other embodiments, the substrate includes a silicon-on-insulator (SOI) substrate. The SOI substrate may be fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods. The layer of silicon may be a silicon layer of an SOI substrate (e.g., overlying an insulator layer). The fins may be formed, for example, by etching a layer of silicon on the substrate.

[0038] The semiconductor device 400 includes multiple gate structures 408 that are formed over a portion of the fins 404. The gate structures wrap around the fins 404 allowing gate control of the channel from both sides of the fin. The gate structurs 408 include a gate dielectric layer, a gate electrode, and a hard mask similar to the ones discussed with reference to FIG. 4. Spacers 409 are formed on sidewalls of the gate structured 408.

[0039] In FIG. 5B, an etching process 410 is performed remove exposed portions of the fins 404. In the present embodiment, the etching process 410 is similar to the etching process 332 of FIG. 4. Therefore, following the etching process 410, a recess 420 is formed. The recess 420 has a bottom surface 422 with a first crystal plane orientation (e.g., [100]) and a side surface 424 with a second crystal plane orientation (e.g., [110]). It is noted that the STI 406 forms the other sides of the recess 420.

[0040] In FIG. 5C, an epitaxial (epi) growth process 430 is performed to deposit a semiconductor material in the recess 420. In the present embodiment, the epi process 430 is similar to the bottom-up growth process 110 discussed with reference to FIGS. 2A-2C. The Ge growth rate on the first crystal plane orientation (bottom surface 422) is greater than the growth rate on the second crystal plane orientation (side surface 424). As discussed above, an etch component controls and prohibits growth on the side surface 424 during the epi process 430. Further, the etch component may remove Ge that may be disposed on the sides of the STI 406. Accordingly, a pure Ge crystal structure 440 is substantially grown in the recess 420 from the bottom-up. The bottom-up growth continues up to the STI 406 surface. In FIG. 5D, the bottom-up growth continues beyond the surface of the STI 406 and is completed to form the Ge structure 440 to a desired height. The Ge structure 440 of the strained source and drain features enhances hole mobility which improves device performance.

[0041] The foregoing has outlined features of several embodiments. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure. For example, other epi films may be grown in the silicon trench for channel materials such as Ge or III-V compound semiconductors.

* * * * *


uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed