Method and apparatus for removing organic layers

Montierth, Garry L. ;   et al.

Patent Application Summary

U.S. patent application number 10/456995 was filed with the patent office on 2004-08-19 for method and apparatus for removing organic layers. This patent application is currently assigned to P.C.T. Systems, Inc.. Invention is credited to Matthews, Robert R., Montierth, Garry L..

Application Number20040159335 10/456995
Document ID /
Family ID33490277
Filed Date2004-08-19

United States Patent Application 20040159335
Kind Code A1
Montierth, Garry L. ;   et al. August 19, 2004

Method and apparatus for removing organic layers

Abstract

Embodiments in accordance with the present invention provide methods and apparatuses for heating a substrate with radiation during processing of substrates. Radiation in the radio or microwave portion of the electromagnetic spectrum is applied to a substrate housed within a processing chamber to promote desirable chemical reactions involving the substrate. Processing in accordance with embodiments of the present invention may utilize pressurization of the processing chamber in conjunction with the application of microwave, RF, IR, or UV radiation, or electromagnetic induction, to heat the substrate or a component of the processing chemistry present within the chamber. Alternative embodiments of the present invention may use combinations of these energy types for more effective processing. For example, UV radiation may be introduced into the chamber in conjunction with microwave heating in order to generate reactive species from the processing chemistry.


Inventors: Montierth, Garry L.; (Fremont, CA) ; Matthews, Robert R.; (Richmond, CA)
Correspondence Address:
    TOWNSEND AND TOWNSEND AND CREW, LLP
    TWO EMBARCADERO CENTER
    EIGHTH FLOOR
    SAN FRANCISCO
    CA
    94111-3834
    US
Assignee: P.C.T. Systems, Inc.
Fremont
CA

Family ID: 33490277
Appl. No.: 10/456995
Filed: June 6, 2003

Related U.S. Patent Documents

Application Number Filing Date Patent Number
10456995 Jun 6, 2003
10150748 May 17, 2002
60387155 Jun 6, 2002

Current U.S. Class: 134/10
Current CPC Class: B08B 7/0021 20130101; G03F 7/423 20130101; H01L 21/67115 20130101; H01L 21/6708 20130101; H01L 21/67051 20130101; G03F 7/425 20130101; G03F 7/42 20130101; B08B 3/08 20130101; C23F 1/16 20130101; B08B 7/005 20130101; B08B 7/0057 20130101; H01L 21/32134 20130101; H01L 21/02052 20130101; H01L 21/31133 20130101; H01L 21/31111 20130101
Class at Publication: 134/010
International Class: C23G 001/36

Claims



What is claimed is:

1. A method for performing processing of a substrate comprising: providing a processing chamber; inserting a substrate into the processing chamber; introducing a processing chemistry into the processing chamber; pressurizing the processing chamber by at least one of introducing a component of the processing chemistry into the processing chamber and introducing a gas into the processing chamber; and applying radiation to heat at least one of a layer of the substrate and a component of the processing chemistry, thereby promoting reaction between the substrate and the processing chemistry, wherein the pressurizing step occurs at least one of before, after, and simultaneously with radiation application step.

2. The method of claim 1 wherein the applied radiation comprises at least one of microwave, UV, IR, RF and electromagnetic induction.

3. The method of claim 1 further comprising applying ultraviolet radiation into the chamber to generate a reactive species from the processing chemistry.

4. The method of claim 3 further comprising evacuating the processing chamber prior to pressurizing the processing chamber to a level greater than an evacuation pressure in order to prolong the lifetime of the reactive species generated from the processing chemistry.

5. The method of claim 3 wherein: a wavelength of the ultra-violet radiation comprises one of 254 nm, 222 nm, 172 nm; and the processing chemistry comprises one of ozone, hydrogen peroxide, oxygen and N.sub.2O.

6. The method of claim 1 wherein microwave radiation is applied to the chamber to heat at least one of one layer of the substrate, the substrate-contacting member, and a component of the processing chemistry.

7. The method of claim 6 wherein the microwave radiation is applied to the chamber in a single mode configuration.

8. The method of claim 6 wherein the microwave radiation is applied to the chamber in a multi-mode configuration.

9. The method of claim 6 wherein at least part of the chamber walls are coated with a microwave absorbing material to reduce reflections within the chamber.

10. The method of claim 1 wherein at least one layer of the substrate is heated by electromagnetic inductive heating.

11. The method of claim 1 wherein the radiation source emits radiation varying in at least one of frequency, power, wave form, and pulse duration.

12. The method of claim 1 wherein a temperature in the processing chamber changes during processing.

13. The method of claim 1 wherein at least one component of the chemistry changes concentration during processing.

14. The method of claim 1 wherein the processing chemistry comprises at least one of a gas, a liquid, a droplet, a mist, a vapor, and a solid.

15. The method of claim 1 wherein at least part of the substrate surface is contacted with the processing chemistry.

16. The method of claim 1 wherein the substrate comprises at least one layer.

17. The method of claim 1 wherein the substrate moves relative to at least one of the chamber and the processing chemistry during at least part of the processing.

18. The method of claim 1 wherein the radiation is directed towards the substrate at least one of parallel, perpendicular and at an angle between parallel and perpendicular.

19. The method of claim 1 wherein the processing chemistry comprises at least one of an acid, a base, an oxidant, a reducing agent, deionized (DI) water, and an organic solvent.

20. The method of claim 19 wherein the acid comprises an inorganic acid.

21. The method of claim 19 wherein the acid comprises an organic acid.

22. The method of claim 21 wherein the organic acid is selected from the group consisting of acetic acid, formic acid, butyric acid, propionic acid, citric acid, oxalic acid, and sulfonic acid.

23. The method of claim 19 wherein the oxidant is selected from the group consisting of ozone, oxygen, a peroxide, and oxide of nitrogen.

24. The method of claim 19 wherein the base is selected from the group consisting of NH.sub.3, NH.sub.4OH, NaOH, TMAH, and KOH.

25. The method of claim 19 wherein the organic solvent is selected from the group consisting of NMP, photresist stripper, semi-aqueous stripper, and methylene chloride.

26. The method of 19 wherein the reducing agent comprises hydrogen.

27. The method of claim 1 wherein the processing chemistry comprises ozone in a concentration range of between about 100 and 400,000 ppm.

28. The method of claim 1 wherein the processing chemistry contacts both sides of the substrate simultaneously.

29. The method of claim 1 wherein at least one component of the processing chemistry is selectively heated by the radiation.

30. The method of claim 1 wherein the processing chemistry comprises at least one of the list of the standard RCA chemistries including H.sub.2SO.sub.4, H.sub.2O.sub.2, H.sub.2SO.sub.5, HF, NH.sub.4OH, and HCl.

31. The method of claim 1 wherein the processing chemistry comprises one of a surfactant and a chelating agent.

32. The method of claim 1 wherein a first processing chemistry contacts one side of the substrate and then a second processing chemistry contacts another side of the substrate.

33. The method of claim 1 wherein the radiation is directed towards a back side of the substrate.

34. The method of claim 1 wherein the radiation is directed toward a front side of the substrate.

35. The method of claim 1 wherein multiple processing chemistries are used.

36. The method of claim 1 wherein the processing of a substrate comprises multiple processing steps performed in at least one of the same and different processing chambers.

37. The method of claim 1 wherein the substrate is selected from the group consisting of silicon, GaAs, SiGe, Si, GaAs, GaInP, and GaN quartz, borosilicate glass, a flat panel display, a substrate bearing microelectro-mechanical (MEMS) devices, a hard disk substrate, a biomedical slide, a substrate for DNA and genetic markers, an optical device, a mirror, a lens, a waveguide, and a liquid crystal display (LCD).

38. The method of claim 1 wherein the substrate comprises a patterned layer of a dielectric, metallic, organic, or organo-metallic material.

39. The method of claim 1 wherein the processing comprises at least one of removing material from a substrate, adding material to a substrate, and modifying a substrate.

40. The method of claim 1 wherein the radiation is directed to the chamber and wafer through a reflecting/focusing network comprising lenses and mirrors.

41. The method of claim 1 wherein the processing chemistry comprises at least one of F.sub.2, Cl.sub.2, HF, HCl, H.sub.2SO.sub.4, H.sub.2CO.sub.3, HNO.sub.3, H.sub.3PO.sub.4, Aqua Regia, chromic and sulfuric acid mixtures, sulfuric and ammonium persulfate mixtures, and various combinations thereof.

42. The method of claim 1 wherein the substrate comprises at least one layer of radiation absorbing material.

43. The method of claim 1 wherein during application of radiation the substrate is in contact with a member comprising a radiation-absorbing material.

44. The method of claim 1 wherein the substrate comprises at least one silicon wafer.

45. The method of claim 1 wherein the substrate heats up at a rate of between 10 and 10,000.degree. C./min.

46. The method of claim 1 further comprising cooling the heated substrate at a rate of between 10 and 10,000.degree. C./min.

47. The method of claim 1 wherein pressurizing the process chamber results in a pressure greater than atmospheric pressure.

48. The method of claim 47 wherein pressurizing results in a pressure of between about one and 100 ATM during the processing.

49. The method of claim 48 wherein pressurizing results in a pressure of between about one and 10 ATM during the processing.

50. The method of claim 1 wherein the pressurizing the process chamber results in a pressure of less than or equal to atmospheric pressure.

51. The method of claim 1 further comprising evacuating the processing chamber prior to pressurizing the processing chamber to a level greater than an evacuation pressure.

52. An apparatus for processing a substrate, the apparatus comprising: a chamber in fluid communication with a processing chemistry source; a pressurization source in fluid communication with the chamber, the pressurization source operable to increase a pressure within the chamber during processing; and a radiation source in communication with the chamber to heat at least one of a layer of a substrate, a substrate contacting member, and a processing chemistry positioned within the chamber.

53. The apparatus of claim 52 wherein the radiation source comprises a source of at least one of microwave, UV, IR, RF, and electromagnetic induction radiation.

54. The apparatus of claim 52 further comprising a substrate support positioned within the chamber and configured to support a substrate such that an orientation of the substrate changes relative to the radiation source during processing.

55. The apparatus of claim 52 further comprising a substrate support positioned within the chamber, the substrate support comprising at least one layer of radiation absorbing material.

56. The apparatus of claim 52 further comprising a vacuum pump in fluid communication with a processing chamber to allow evacuation at least one of prior to and after the pressurization.

57. The apparatus of claim 52 further comprising a mode stirrer positioned in the chamber and configured to deflect radiation from the radiation source during processing.

58. The apparatus of claim 52 wherein the radiation source is configured to emit radiation varying in at least one of frequency and power.

59. The apparatus of claim 52 wherein the radiation source is in communication with the chamber through a radiation permeable window.

60. The apparatus of claim 52 wherein the radiation source is in communication with the chamber through a network comprising at least one of lenses and mirrors.

61. The apparatus of claim 52 further comprising a second radiation source.
Description



CROSS-REFERENCES TO RELATED APPLICATIONS

[0001] This nonprovisional application claims priority from provisional application No. 60/387,155, filed Jun. 6, 2002 and hereby incorporated by reference for all purposes. This nonprovisional application also claims priority as a continuation-in-part of U.S. parent application Ser. No. 10/150,748, filed May 17, 2002, also hereby incorporated by reference for all purposes.

BACKGROUND OF THE INVENTION

[0002] During fabrication of semiconductor devices, it is frequently useful to develop an organic photoresist material in a pattern that serves as a mask for processes such as etching or ion-implantation. Following ion-implantation of metals into a masked substrate, however, the developed organic photoresist mask is difficult to remove without damaging the underlying material.

[0003] Conventionally, such ion-implanted organometallic photoresist materials are removed in two stages. First, the substrate bearing the organo-metallic material is exposed to an oxygen asher using a microwave-induced plasma. This initial ashing step typically results in substantial amounts of particles/implanted metals remaining on the surface of the substrate.

[0004] Therefore, a second step of exposing the ashed substrate surface to wet processing in the piranha process with Caro's acid (a combination of sulfuric acid and hydrogen peroxide) at temperatures over 100.degree. C. is conventionally employed. Neither of the ozone ashing nor the wet processing stages are effective alone. Moreover, the intense microwave radiation applied to generate the plasma creates long-lived reactive chemical species, typically radicals, which may damage fragile structures present on the substrate surface.

[0005] Accordingly, there is a need in the art for improved methods and apparatuses for treating a semiconductor wafer.

BRIEF SUMMARY OF THE INVENTION

[0006] Embodiments in accordance with the present invention provide methods and apparatuses for heating a substrate with radiation during chemical processing. Specifically, radiation in the radio or microwave portion of the electromagnetic spectrum is applied to a substrate housed within a processing chamber in order to promote desirable chemical reactions involving the substrate. Processing in accordance with embodiments of the present invention may utilize the application of microwaves, RF, IR, or UV radiation, or electromagnetic induction, to heat the substrate. Alternative embodiments of the present invention may use combinations of these energy types for more effective processing. For example, UV radiation may be introduced into the chamber in conjunction with microwave heating in order to generate reactive species from the processing chemistry.

[0007] Processing in accordance with embodiments of the present invention may take place at elevated pressures to enhance concentrations of reactant material, or may take place at sub-ambient pressures in order to prolong the lifetime and hence processing effectiveness of radicals or other reactive species present within the chamber. One particular promising embodiment of the present invention is the stripping of photoresists that have been subjected to ion implantation, utilizing exposure of the implanted wafers to ozone gas.

[0008] Processing chemistry introduced into the chamber to react with the heated substrate may be in the form of a gas, a liquid, or some combination of a gas and a liquid such as a mist. Alternatively, the processing chemistry could also be utilized in the form of a solid such as a dust. In these cases, the processing chemistry may be transported to or through the processing chamber under the influence of a pressure differential.

[0009] An embodiment of a method in accordance with the present invention for performing processing of a substrate, comprises, providing a processing chamber, inserting a substrate into the processing chamber, and introducing a processing chemistry into the processing chamber. The processing chamber is pressurized by at least one of introducing a component of the processing chemistry into the processing chamber and introducing a gas into the processing chamber. Radiation is applied to heat at least one of a layer of the substrate and a component of the processing chemistry, thereby promoting reaction between the substrate and the processing chemistry, wherein the pressurizing step occurs at least one of before, after, and simultaneously with radiation application step.

[0010] An embodiment of an apparatus in accordance with the present invention for processing a substrate, comprises, a chamber in fluid communication with a processing chemistry source, and a pressurization source in fluid communication with the chamber, the pressurization source operable to increase a pressure within the chamber during processing. A radiation source is in communication with the chamber to heat at least one of a layer of a substrate, a substrate contacting member, and a processing chemistry positioned within the chamber.

[0011] A further understanding of the nature and advantages of the inventions disclosed herein may be realized by reference to the remaining portions of the specification and the attached drawings.

BRIEF DESCRIPTION OF THE DRAWINGS

[0012] FIG. 1 shows a simplified cross-sectional view of one embodiment of an apparatus for processing a substrate in accordance with the present invention.

[0013] FIG. 2 shows a simplified cross-sectional view of an alternative embodiment of an apparatus for performing processing in accordance with the present invention.

[0014] FIG. 3 shows a simplified plan view of another alternative embodiment of a processing apparatus in accordance with the present invention.

[0015] FIG. 4 shows a simplified cross-sectional view of another alternative embodiment in accordance with the present invention.

[0016] FIG. 5 shows a simplified plan view of yet another alternative embodiment of a processing apparatus in accordance with the present invention.

DETAILED DESCRIPTION OF THE INVENTION

[0017] FIG. 1 shows a simplified cross-sectional view of one embodiment of an apparatus 10 for processing a substrate in accordance with the present invention. Substrate or wafer 2 is supported upon turntable 4 positioned within chamber 6. Substrate 2 may comprise a number of different materials, including but not limited to silicon, GaAs, and other semiconductor materials, quartz, borosilicate glass, flat panel displays, microelectro-mechanical (MEMS) devices, hard disk substrates, biomedical slides, and other media. The surface of substrate 2 may further comprise patterned layers of different materials such as dielectric, metallic, organic, or organo-metallic materials. For the purposes of this application, the term "organo-metallic" refers to any carbon-containing material which also includes one or more metals. One example of an organometallic material is organic photoresist material that has been ion-implanted with metals such as phosphorous or boron. Another example of an organometallic material are the chemical by-products of plasma etching, which may deposit on the sidewalls of device features.

[0018] Chamber 6 includes inlet 8 and outlet 9 for receiving and exhausting respectively, chemistries intended to react with substrate 2. Chamber 6 may be completely or partially closed, such that the processing chemistries may be maintained under elevated or reduced pressures during processing. Chemistries introduced into chamber 6 for processing may comprise any gas, liquid, or gas/liquid combination intended to react with substrate 2 or material present thereon.

[0019] Chamber 6 is composed of material permeable to radiation utilized in heating the substrate or a layer of material on top of the substrate, such that radiation 12 emitted by generator 14 enters chamber 6, contacts wafer 2, and results in heating of wafer 2 or a layer on wafer 2. Alternatively, chamber 6 may comprise material that is not permeable to the radiation, but may further include a window comprising a radiation-permeable material which permits entry of the radiation into the chamber.

[0020] Radiation generator 14 may comprise a magnetron 11 in communication with the chamber through a waveguide 13. Radiation generator 14 may comprise a generator of microwave radiation of frequency 915 or 2450 MHz. Such microwave sources typically exhibit a power of between about 300 and 1200 W. However, a microwave generator utilized by embodiments in accordance with the present invention is not limited to any particular frequency or power range, and alternatively could be of a specialized industrial design utilizing a specific fixed or changeable power, frequency, or pulse duration. For example, generators utilizing variable frequency, variable power, and/or precisely controlled power levels could also be advantageously utilized in accordance with embodiments of the present invention.

[0021] Waveguide 13 is configured to receive radiation from generator 14, and to convey this radiation in a single mode to chamber 6. Chamber 6 is designed to ensure that the applied radiation uniformly heats the substrate(s) located therein. In one embodiment, chamber 6 may exhibit dimensions sufficiently similar to waveguide 13 to preserve the unipolar character of the applied radiation. While not wishing to be limited to any particular approach, in one possible embodiment of the present invention utilizing unipolar radiation, interior surfaces of the chamber could be lined with radiation-absorbing materials to suppress internal reflectance of the radiation giving rise to unwanted multi-mode radiation.

[0022] It may also be desired that radiation applied to the chamber to heat the wafer will be multi-mode radiation. This is because many materials, including single crystal silicon substrates utilized in the fabrication of semiconductor devices, are relatively transparent to microwave radiation, with a majority of the energy of the radiation encountering the substrate will pass through without being absorbed. Accordingly, the methods and apparatuses in accordance with embodiments of the present invention may require the passage of reflected radiation in order to effect the desired rapid heating.

[0023] Application of multi-mode radiation to the processing chamber to accomplish uniform heating of substrates positioned therein can be accomplished in several ways. In the specific embodiment illustrated in FIG. 1, uniform heating of the wafer(s) is ensured by rotating the wafers utilizing a turntable, relative to the direction of the applied radiation. Alternatively, a mode stirrer structure such as a rotating metal fan could be positioned in the chamber such that unipolar radiation incident from the generator is reflected at random within the cavity to heat substrate(s) present therein. Further alternatively, the microwave generator could emit radiation of oscillating frequencies or differing pulse durations in order to accomplish uniform heating with multi-mode radiation in accordance with embodiments of the present invention. Still further alternatively, multiple microwave generators could be employed to simultaneously apply radiation having a plurality of modes.

[0024] The embodiment of FIG. 1 shows wafer 2 supported horizontally on turntable 4 in a plane parallel with the direction of radiation 12 from generator 14. However, the present invention is not limited to this particular configuration, and in an alternative embodiment the substrate could be supported perpendicular relative to the incident radiation, or in any other orientation relative to the direction of radiation emitted by the generator.

[0025] In operation, substrate 2 is positioned upon turntable 4 within chamber 6. A processing chemistry is flowed into chamber 6 through inlet 8. Radiation 12 from generator 14 is transmitted into chamber 6 and into contact with wafer 6, resulting in heating of wafer 2. Radiation 12 may also indirectly contact wafer 2 by reflecting off of the interior surfaces 6a of the chamber 6.

[0026] As a result of interaction between the radiation 12 and wafer 2 or a layer of material present thereon, the wafer or the material overlying the wafer is heated. Chemistry present in chamber 6 then reacts with heated substrate 2 or materials present on the surface thereof. The elevated temperature of the substrate, combined with the reactive properties of the processing chemistry, effectuate a desired chemical reaction.

[0027] At the conclusion of processing, or during processing where a continuous flow of processing chemistry is passed through the chamber, the spent processing chemistry may be evacuated from chamber 6 through outlet 9. Radiation generator 14 ceases applying radiation to chamber 6, allowing the processed wafer 2 to cool at a much faster rate than is experienced with conventional contact heaters. The rapid cooling afforded by embodiments in accordance with the present invention allows for faster throughput and hence reduced operating costs

[0028] Embodiments in accordance with the present invention are not limited to performing any particular type of chemical processing on a substrate. One particularly promising application for the present invention is in the stripping (removal) of patterns of organometallic photoresist material from the surface of a semiconductor wafer utilizing ozone. In such an embodiment, the elevated temperature of the microwave-heated substrate promotes rapid reaction with the ozone to consume the organometallic material.

[0029] In accordance with an embodiment of the present invention, the application of microwave radiation may be decoupled from application of a reactive ozone-containing oxygen gas, or other processing chemistry. In an implanted-photoresist stripping process, the implanted wafer is heated and an independent generator creates ozone from oxygen. The ozone gas does not interact with the microwave energy and hence is not affected by the microwave energy and does not decompose until reaching the heated surface of the organo-metallic coating. The ozone produced does not include large quantities of high energy reactive ions or radicals which can damage sensitive structures present on the wafer surface.

[0030] Due to the high concentration of relatively low energy reactive species at the substrate surface resulting from the decomposition of ozone, substrates cleaned utilizing this process in accordance with the present invention may be substantially free of residues. In one experiment, a positive novolac photoresist resin having a thickness of 12,500 .ANG. was formed on each of two 200 mm wafers. The photoresist on the first wafer was implanted with arsenic, and the photoresist on the second wafer was implanted with phosphorous. Both the As and P implants were performed at a dose of about 3.times.10.sup.15 atoms/cm.sup.2 with an implant energy of 50 KeV at 10,000 .mu.A.

[0031] The wafers bearing the implanted resist were then heated at atmospheric pressure in a 1100 W microwave oven operated at a power setting of 40%, while ozone gas generated at a concentration of greater than about 150,000 ppm was forced through the oven chamber at a flow rate of 1.5 slm. As a result of this processing, the wafers were stripped clean of the implanted photoresist in less than eight minutes. For purposes of comparison with conventional photoresist removal processes, the same implanted resist material was not stripped at all utilizing conventional high or low temperature ozone processes.

[0032] While the above experiment describes removal of photoresist material through exposure to gas generated with an ozone concentration of about 150,000 ppm, this is not required by the present invention and other ozone concentrations could be utilized, ranging from 1000 to 400,000 ppm and greater, as there is no known upper limit in the concentration of ozone useful in accordance with the present invention. In addition, while the above experiment involves the application of ozone as an oxidant, this is not required by the present invention and other oxidizing species or combinations of oxidizing species, such as oxygen, hydrogen peroxide, and other peroxides, could alternatively be utilized.

[0033] In the photoresist stripping or other applications utilizing embodiments in accordance with the present invention, the processing chemistry may be maintained under positive pressure within either a sealed or substantially sealed processing chamber to enhance the effectiveness and/or rate of the process. Discussion of processing at elevated pressures is described in detail in copending parent U.S. patent application Ser. No. 10/150748, filed May 17, 2002 and incorporated by reference herein for all purposes.

[0034] As described in detail in the above-incorporated application, processing under positive pressures may be accomplished by flowing processing fluids into a sealed processing vessel, or by flowing processing fluids into a processing vessel having a outlets of limited capacity such that pressure within the processing vessel increases above the pressure at the exit or exhaust from the outlet from the vessel. For gaseous or compressible processing chemistries and components, this increased pressure within the processing vessel may result in an increase in volumetric concentration. Elevated pressures within the chamber during processing would most typically lie between about 1 and 100 ATM. In accordance with certain embodiments of the present invention the processing vessel can be pre-pressurized.

[0035] Increased pressure and/or elevated concentration of active processing components in the gas phase may promote direct interaction between the gas phase component and the wafer surface. Alternatively or in conjunction with direct interaction between the gas phase component and the wafer surface, increased gas phase pressure may enhance the resulting concentration of these components in a liquid phase that may be present in the chamber, thereby increasing desirable processing effects such as chemical reactivity. Such pressurized processing, performed at elevated temperatures resulting from the application of radiation in accordance with embodiments of the present invention, may even further enhance the rate and effectiveness of such processing.

[0036] While processing in accordance with embodiments of the present invention may be characterized as being performed in a "chamber", a discrete processing vessel is not required where as processing fluid is flowed to or through a processing region by virtue of a pressure drop. And while embodiments in accordance with the present invention just discussed may operate at greater than atmospheric pressure, other embodiments may operate at less than atmospheric pressure, for example where the processing chamber has been evacuated prior to the introduction of processing chemistry.

[0037] Combinations of chemistries may be introduced into the chamber in accordance with embodiments of the present invention. For example, acids may be employed in conjunction with the oxidant to enhance the process of photoresist removal. Examples of acids which may be utilized as components of processing chemistries in accordance with embodiments of the present invention include, but are not limited to, inorganic acids and organic acids such as acetic acid, formic acid, butyric acid, propionic acid, citric acid, oxalic acid, and sulfonic acid. Such acids could be introduced into the chamber in the gaseous phase, in the liquid phase in the form of droplets, or in the solid phase in the form of dust. Other examples of active components of process chemistries include but are not limited to surfactants and chelating agents.

[0038] While the present invention has been described above in conjunction with heating a semiconductor wafer to promote removal of an organometallic photoresist utilizing an ozone-based chemistry, the present invention is not limited to this particular application. Methods and apparatuses in accordance with the present invention could be employed in conjunction with other types of processing chemistries to perform other types of wafer processing. Examples of other types of wafer processing suited for the present invention include, but are not limited to etching inorganic layers such as silicon oxide or silicon nitride overlying a substrate, and performing a post-processing cleaning such as those analogous to the RCA cleaning series as is well-known in the art.

[0039] In addition, while the above description focuses upon application of microwave radiation to heat the contents of the chamber, this is not required by the present invention. Forms of radiation other than microwave could be applied to heat substrates present within the chamber, and the methods and apparatuses would fall within the scope of the present invention. For example, alternative embodiments in accordance with the present invention could employ electromagnetic induction heating (EMIH) of substrates utilizing radiation ranging in frequencies of a few MHz to tens of GHz.

[0040] Moreover, FIG. 1 illustrates only one embodiment of an apparatus for performing processing in accordance with the present invention, and other apparatuses and methods would also fall within the scope of the present invention. For example, FIG. 2 shows a simplified cross-sectional view of an alternative embodiment of an apparatus for performing processing in accordance with the present invention. Apparatus 20 of FIG. 2 is similar to that shown in FIG. 1, but further includes a water-filled coil 22 within chamber 24. Water-within coil 22 absorbs radiation within the chamber and heats up, thereby dampening the effect of radiation reflected off of the walls of the chamber.

[0041] While the embodiment of FIG. 2 includes a coil filled with a circulating water stream to absorb radiation within the chamber, the present invention is not limited to this configuration. Other approaches include coating the chamber walls with a radiation-absorbing material, spraying a mist of water or other radiation-absorbing material in the chamber or onto the surface of the wafer, or simply placing a tank of water or other radiation-absorbing material within the chamber.

[0042] FIG. 3 shows a simplified plan view of another alternative embodiment of a processing apparatus in accordance with the present invention. Apparatus 30 of FIG. 3 is similar to that shown in FIG. 1, but turntable 32 is configured to support and rotate a plurality of wafers 34 relative to the direction of radiation 36 emitted from microwave generator 38. In addition, inlet 40 and outlet 42 of chamber 44 are configured such that a continuous supply of processing chemistry is flowed across surfaces 34a of wafers 34. Again, while the embodiment of FIG. 3 shows substrates 34 oriented perpendicular to the direction of microwave radiation 36, this is not required by the present invention. Substrates 34 could be supported by turntable 32 in other orientations relative to the microwave generator 38. In addition, while FIG. 3 shows rotation of a turntable structure supporting the wafer, this is also not required by the present invention. In alternative embodiments, the substrates could be rotated relative to radiation within the chamber through contact between a rotating or spinning roller or other structure, and an edge of the substrate.

[0043] FIG. 4 shows a simplified cross-sectional view of another alternative embodiment of a processing apparatus in accordance with the present invention. Apparatus 40 of FIG. 4 is similar to that shown in FIG. 1, but additionally includes source 42 of ultraviolet (UV) radiation in communication with chamber 44 through the chamber walls or through a UV-permeable window in the chamber walls. While UV radiation source 42 is located outside chamber 44 in FIG. 3, this is not required by the present invention and in alternative embodiments the UV radiation source could be present directly within the chamber.

[0044] UV source 42 provides to chamber 44 radiation 46 having a substantially shorter wavelength range (10.sup.-6.ltoreq..lambda..ltoreq.- 10.sup.-8 m) than the microwave radiation (10.sup.-4.ltoreq..lambda..ltore- q.10.sup.-1 m) provided by microwave source 48. Accordingly, UV radiation 46 transmitted to the chamber 44 may allow advantageous interaction with chemistries present within the chamber.

[0045] For example, applied UV radiation having a wavelength of 254 nm may generate highly reactive species such as molecular oxygen or oxygen radicals from ozone within the chamber. Alternatively or in conjunction with this process, applied UV radiation having a wavelength of 222 nm could generate hydroxyl radicals from hydrogen peroxide present within the chamber. In accordance with still another alternative embodiment of the present invention, UV radiation at 172 nm may be applied from a source such as an excimer lamp to oxygen present within a processing chamber. This 172 nm UV radiation can result in formation of reactive oxygen radicals directly from molecular oxygen, without the need for ozone at all. Other potentially reactive species generated from the application of UV radiation includes but is not limited to N.sub.2O, which upon irradiation may form the highly reactive oxygen radical.

[0046] In any of these approaches, the proximity of the radiation source to the surface of the substrate results in close proximity of the generated radical species to the surface with which reaction is desired. Rapid reaction with the substrate surface can thus occur before the short-lived radical species generated by interaction with the UV radiation decay into non-energized species and reduce the effectiveness of the processing.

[0047] Moreover, introduction of the gaseous species into an evacuated chamber may prolong the lifetime of radicals and other reactive species generated by interaction with the UV radiation. Accordingly, the embodiment of an apparatus shown in FIG. 4 includes a vacuum pump 50 in fluid communication with the chamber, allowing for evacuation of the chamber during processing. Utilization of low-pressures is not limited to UV-assisted processing in accordance with the present invention, however, and low pressures could be employed without UV radiation.

[0048] FIG. 5 shows a simplified plan view of yet another alternative embodiment of a processing apparatus in accordance with the present invention. Apparatus 50 of FIG. 5 is similar to that shown in FIG. 4, but microwave source 52 and UV source 54 are positioned on opposite sides of wafer 56, with microwave source 52 proximate to wafer backside 56a and UV source 54 proximate to wafer front side 56b. The embodiment shown in FIG. 5 allows a flow of inlet gas to be provided across both the wafer front side and back side, with exhaust port 58 utilized both to maintain a continuous flow of processing chemistry across the surface of the substrate, and to remove spent processing chemistry.

[0049] In certain applications, the embodiment shown of FIG. 5 could exploit the presence of wafer 56 or materials in intimate contact therewith or present thereon, to absorb the incident microwave or rf radiation and become hot, while at the same time the wafer package may block and/or reflect the microwave or radio frequency radiation and prevent it from reaching and interacting with processing chemistries overlying the front side of the wafer. The configuration shown in FIG. 5 allows UV radiation to be applied simultaneous with microwave wafer heating to achieve the processing desired. While the embodiment of FIG. 5 shows the UV source in direct communication with the chamber, this is not required by the present invention and the UV radiation could be directed to the chamber and wafer through a reflecting/focusing network comprising lenses or mirrors.

[0050] Embodiments of methods and apparatuses in accordance with the present invention offer a number of advantages over conventional processing techniques. One advantage is enhanced precision of heating and a corresponding increase in processing effectiveness. For example, it may be desirable to employ ozone in the chamber to accomplish processing such as stripping of photoresist material. However, the stability of ozone declines with increased temperature. Conventional processing approaches utilizing contact heating of wafers or heating of wafers through exposure to hot gases may result in heating of the entire chamber rather than just the wafer itself. In such conventional contact heating approaches, ozone or other reactive processing chemistry may decompose prior to reaching the surface of the wafer. This decomposition reduces the effectiveness and rate of processing.

[0051] By contrast, embodiments in accordance with the present invention apply microwaves to the chamber to accomplish specific, precise heating of the wafer without resulting in generalized heating of the entire chamber. Ozone or other reactive processing chemistries introduced into the chamber will thus remain intact until they reach the hot surface of the wafer, whereupon the desired processing reaction can efficiently take place.

[0052] Another advantage offered by embodiments in accordance with the present invention is increased throughput. Specifically, the transfer of thermal energy to and from the wafer during heating and cooling consumes time, and can reduce the effective throughput of an apparatus. Conventional approaches for heating a wafer may employ contact heating, requiring both the contacting member and the wafer to be heated to an elevated temperature. Moreover, such conventional approaches may typically employ cooling of both the heated wafer and the heating member, through mechanisms such as convection utilizing a flow of a cooling gas or a cooled structure within the chamber. However, this approach wastes much of the energy utilized in heating, which must be removed from the processing chamber during each run.

[0053] By contrast, many embodiments in accordance with the present invention avoid the use of a separate contacting member, such that there is no need to heat and then cool the contacting member in addition to the wafer. The application of microwave radiation to heat the wafer, and the cessation of application of microwave radiation to allow cooling of the wafer, occur without any delay time associated with heating or cooling of a proximate contact member. The increased speed and efficiency of heating and cooling increases throughput of the processing chamber.

[0054] Still another advantage offered by embodiments in accordance with the present invention is enhanced exposure of surfaces of the substrate to processing chemistries. For example, conventional contact heating techniques typically employ a heated member in direct physical contact with, or in close physical proximity to, at least one surface of the substrate, typically the wafer backside. The presence of this contacting member can physically interfere with the flow of processing chemistries to the wafer backside surface, thereby reducing processing effectiveness and flexibility, particularly as wafer backside cleanliness emerges as an important issue in semiconductor fabrication.

[0055] Heating of the wafer in accordance with embodiments of the present invention, however, avoids this drawback. The substrate can be supported in the chamber by its sides or edges, with application of microwave or other radiation serving to heat both the wafer front side and the wafer backside. Processing chemistries can then be applied simultaneously and flow unimpeded to the heated front side and backside of the wafer to accomplish the desired chemical reaction.

[0056] A further advantage of embodiments in accordance with the present invention is the ability to conduct rapid thermal processing. In conventional apparatuses and methods utilizing contact heating of the wafer, the application of thermal energy to the wafer is prolonged by the time required to heat up and cool down the contacting member. This extended time of exposure to high temperatures must be accounted for in the thermal budget allowed for a particular process in order to avoid unwanted effects such as migration of implanted dopants within a substrate.

[0057] In accordance with embodiments of the present invention however, heating and cooling of the wafer is extremely rapid due to the absence of an intervening contacting member. The ability to rapidly and precisely apply thermal energy to the substrate increases the precision of the processing in a manner analogous to rapid thermal processing (RTP) techniques known in the art, and may prevent unwanted phenomena such as thermally-induced dopant migration. Embodiments in accordance with the present invention would be expected to heat an exposed substrate or process chemistry at a rate of between about 10.degree. C. and 10,000.degree. C./min. Similarly, by the selected application of cooling techniques to the processed wafer, a heated substrate or process chemistry could be cooled at a rate of between about 10.degree. C. and 10,000.degree. C./min.

[0058] Yet another advantage offered by embodiments in accordance with the present invention is the ability to selectively heat different components of a processing chemistry present within the chamber. For example, microwave or other radiation may tend to heat one component of a processing chemistry while leaving other components relatively unaffected. For example, certain polar compounds (such as water or hydrogen peroxide) may be relatively lossy or easily absorb the applied radiation and heat up quickly, while other compounds (such as tetraethoxysilicate-TEOS) are relatively transparent or inert in response to exposure to the applied radiation.

[0059] Therefore, in accordance with embodiments of the present invention, it may be possible to tailor the processing to accomplish a particular goal. One component of the processing chemistry could advantageously be heated through exposure to the radiation, while the temperature of another component of the processing chemistry remains relatively constant. This difference in temperature between the components of the processing chemistry can advantageously impart enhanced activity and/or selectivity to a particular cleaning or stripping process. An example of this effect could be present in a application utilizing ozone with a water mist, where the water is heated by the radiation but the ozone is relatively unaffected.

[0060] A still further advantage of embodiments in accordance with the present invention is increased flexibility. In conventional contact heating systems, the substrate is cooled by convection as a cooling airflow containing processing chemistry is flowed past the substrate. In such conventional approaches, the mass transfer of processing chemistry to the wafer surface is limited by the need to maintain the wafer above a certain temperature. Embodiments in accordance with the present invention, however, decouple the mass transfer of processing chemistry to the wafer surface from the cooling effects, such that the power of the radiation can be increased to compensate for cooling effects associated with an elevated flow of processing chemistry.

[0061] Embodiments in accordance with the present invention are generally applicable to any processing step wherein it is desired to apply thermal energy to a substrate. Thus while the invention has been described above in connection with stripping developed organic photoresist material through exposure to ozone, the invention is not limited to this particular application. An example of another processing step which may be performed in accordance with the present invention is etching inorganic material through exposure to an acid, for example removal of silicon dioxide through exposure to HF in a gas or dissolved in a liquid solution. A nonexclusive list of acids which may be employed to etch inorganic layers in accordance with embodiments of the present invention include F.sub.2, Cl.sub.2, HF, HCl, H.sub.2SO.sub.4, H.sub.2CO.sub.3, HNO.sub.3, H.sub.3PO.sub.4, Aqua Regia, chromic and sulfuric acid mixtures, sulfuric and ammonium persulfate mixtures, and various combinations thereof.

[0062] In still other applications for embodiments of the present invention, the processing chemistry introduced into the chamber may comprise a base. A non-exclusive list of bases which could be utilized by embodiments in accordance with the present invention includes but is not limited to NH.sub.3, NH.sub.4OH, NaOH, TMAH, and KOH. These materials can be in the form of a gas, liquid, or solid.

[0063] In still further applications for embodiments of the present invention, the processing chemistry introduced into the chamber may comprise a surfactant. In accordance with still other applications for embodiments of the present invention, the processing chemistry introduced into the chamber may comprise a chelating agent such as ethylenediaminetetracetic acid (EDTA).

[0064] Wafer cleaning is yet another type of processing which may be performed in accordance with the present invention. In wafer cleaning applications, unwanted residue from prior processing remaining on a wafer surface is removed in preparation for further processing. Such wafer cleaning may involve exposing the wafer to a single cleaning chemistry, or may involve exposing the wafer to a series of complementary cleaning chemistries.

[0065] General classes of chemistries useful for wafer cleaning include acidic solutions, basic solutions, aqueous solutions containing oxidizing components, and combinations thereof. One class of reactant that may be useful for substrate cleaning or other processing applications in accordance with the present invention are organic acids. A list of such organic acids includes, but is not limited to, acetic acid, formic acid, butyric acid, propionic acid, citric acid, oxalic acid, and sulfonic acid.

[0066] One example of a particular wafer cleaning process is the RCA washing series generally known in the art. This multi-step wet processing employs a series of five complementary chemical baths to remove the residual organic materials, particles and metals. In a first step, the substrate is subjected to a heated aqueous bath of H.sub.2SO.sub.4 and H.sub.2O.sub.2 to form Caro's acid (H.sub.2SO.sub.5) to remove residual organic materials, for example developed photoresist material remaining on a substrate surface. In a second step, the substrate is subjected to a dilute aqueous HF bath at room temperature to remove the oxide layer and impurities contained therein. In a third step, the substrate is subjected to a heated aqueous bath of ammonium hydroxide (NH.sub.4OH) and H.sub.2O.sub.2, to remove particles and other contaminants. In a fourth step, the substrate is subjected to a heated aqueous bath of hydrochloric acid (HCl) and H.sub.2O.sub.2, to remove metals. Finally, in the fifth step, the substrate is again subjected to a bath of dilute hydrofluoric acid (HF) to remove the oxide layer formed by oxidation in the prior step, freeing metallic contaminants embedded in the oxide layer and permitting their removal, and rendering the surface of the wafer hydrophobic. In accordance with embodiments of the present invention, radiation may be applied during one or more of the above-referenced RCA cleaning steps to enhance their effectiveness.

[0067] Wafer surface modification is still another type of processing which may be advantageously performed in accordance with embodiments of the present invention. For example, a processing chemistry comprising elevated concentrations of a reducing agent such as hydrogen gas may be present in a chamber to passivate or alter surface properties of a substrate, or to conduct a process wherein reaction with the processing chemistry present within the chamber leads to a reduced surface structure. Thus during processing of a silicon wafer, hydrogen gas or another reducing agent may be present to minimize formation of an oxide layer, or to replace hydrophilic surface SiO bonds with hydrophobic SiH bonds.

[0068] While the present invention has described heating of a wafer utilizing microwave radiation, it is not required that the temperature remain constant during processing. Embodiments in accordance with the present invention could utilize heating according to predetermined temperature gradients in order to achieve maximum effectiveness. In addition to temperature, other processing parameters could also be varied over time. For example, the timing of introduction of various components of the processing chemistry could be specifically tailored to accomplish certain results. Moreover, where the processing chemistry is present under pressure, this pressure could vary over time to effectuate processing in accordance with embodiments of the present invention.

[0069] While embodiments in accordance with the present invention may relate to chemical processing of substrates utilized during the manufacture of semiconductor devices, for example substrates comprising silicon, SiGe, GaAs, Si, GaAs, GaInP, and GaN to name a few. However, the present invention is not limited to processing of semiconductor substrates, and other materials may be subjected to microwave heating during processing. Examples of other candidates for chemical processing utilizing the present invention include, but are not limited to, hard disks and hard disk substrates, optical devices such as mirrors, lenses, or waveguides, and substrates utilized in the fabrication of micro-electrical mechanical systems (MEMS), liquid crystal display devices, biomedical slides, optical devices, mirrors, lenses, waveguides, substrates for DNA or genetic markers, liquid crystal displays, and other media. In particular embodiments, these substrates could be intentionally coated with a radiation-absorbing material in order to enhance their temperature-responsiveness under exposure to applied radiation. The use of multiple layers of different types of radiation-absorbing materials to tailor temperature responsiveness is also contemplated in accordance with embodiments of the present invention.

[0070] Although the invention has been described in terms of preferred methods and structures, it will be understood to those skilled in the art that many modifications and alterations may be made to the disclosed embodiments without departing from the invention. Hence, these modifications and alterations are intended to be considered as within the spirit and scope of the invention as defined by the appended claims. For example, while some examples of specific embodiments previously described may suggest a particular sequence of steps, these particular sequences are not required by the present invention.

* * * * *


uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed