name:-0.47541689872742
name:-0.48066401481628
name:-0.34177207946777
ZHANG; Chen Patent Filings

ZHANG; Chen

Patent Applications and Registrations

Patent applications and USPTO patent grants for ZHANG; Chen.The latest application filed is for "spacecraft nutation inhibition method for low-orbit geomagnetic energy storage in-orbit delivery".

Company Profile
200.200.200
  • ZHANG; Chen - Beijing CN
  • Zhang; Chen - Frankfurt am Main DE
  • Zhang; Chen - Richmond CA
  • Zhang; Chen - Albany NY
  • Zhang; Chen - San Jose CA
  • ZHANG; Chen - Shanghai CN
  • Zhang; Chen - Redmond WA
  • Zhang; Chen - Guilderland NY
  • Zhang; Chen - Haidian District CN
  • ZHANG; Chen - Shenzhen CN
  • Zhang; Chen - Dublin CA US
  • Zhang; Chen - Zhoushan CN
  • Zhang; Chen - Kunshan CN
  • ZHANG; Chen - Milwaukee WI
  • Zhang; Chen - Guangzhou CN
  • Zhang; Chen - Changchun CN
  • ZHANG; Chen - Chengdu Sichuan
  • Zhang; Chen - Dearborn MI
  • ZHANG; Chen - Sunnyvale CA
  • Zhang; Chen - Nanjing CN
  • ZHANG; Chen - Jiangsu CN
  • ZHANG; Chen - Wuhan CN
  • Zhang; Chen - Hortolandia BR
  • Zhang; Chen - South Rockwood MI
  • Zhang; Chen - Hangzhou CN
  • Zhang; Chen - Minneapolis MN
  • Zhang; Chen - Zhejiang CN
  • ZHANG; Chen - Seoul KR
  • Zhang; Chen - Sichuan Province CN
  • Zhang; Chen - Guangdong CN
  • Zhang; Chen - Toronto CA
  • Zhang; Chen - Minhang District CN
  • Zhang; Chen - Calgary N/A CA
  • Zhang; Chen - South Lyon MI
  • Zhang; Chen - Canton MI
  • Zhang; Chen - S Rockwood MI
  • ZHANG; Chen - Chaoyang District Beijing
  • Zhang; Chen - Atlanta GA
  • Zhang; Chen - Los Angeles CA
  • - San Jose CA US
  • - Guilderland NY US
  • - Albany NY US
  • - Toronto CA
  • - Hortolandia BR
  • - Guangdong CN
  • - Canton MI US
  • - Shenzhen CN
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Trademarks
Patent Activity
PatentDate
Spacecraft Nutation Inhibition Method For Low-orbit Geomagnetic Energy Storage In-orbit Delivery
App 20220306323 - LI; Wenhao ;   et al.
2022-09-29
Method for ascertaining the position of the center of gravity of a vehicle
Grant 11,453,405 - Zhang , et al. September 27, 2
2022-09-27
Versatile mobile robotic device
Grant 11,454,981 - Ebrahimi Afrouzi , et al. September 27, 2
2022-09-27
Robotic fire extinguisher
Grant 11,449,064 - Ebrahimi Afrouzi , et al. September 20, 2
2022-09-20
Scalable heat sink and magnetic shielding for high density MRAM arrays
Grant 11,444,238 - Frougier , et al. September 13, 2
2022-09-13
Methods for an autonomous robotic device to identify locations captured in an image
Grant 11,443,508 - Ebrahimi Afrouzi , et al. September 13, 2
2022-09-13
System and method for providing portable natural language processing interface across multiple appliances
Grant 11,443,741 - Huang , et al. September 13, 2
2022-09-13
Pyrrolotriazine Compounds Acting As Mnk Inhibitor
App 20220281895 - WU; Lingyun ;   et al.
2022-09-08
Method for constructing a map while performing work
Grant 11,435,192 - Ebrahimi Afrouzi , et al. September 6, 2
2022-09-06
Multi-functional multi-purpose tile and plate
Grant 11,428,439 - Qu , et al. August 30, 2
2022-08-30
VFET device with controllable top spacer
Grant 11,430,864 - Xu , et al. August 30, 2
2022-08-30
Method, device, and terminal for controlling jitter in network communication
Grant 11,431,643 - Zhang , et al. August 30, 2
2022-08-30
Method And Apparatus For Processing Live Stream Audio, And Electronic Device And Storage Medium
App 20220270638 - ZHANG; Chen ;   et al.
2022-08-25
Polypeptide Complex For Conjugation And Use Thereof
App 20220267467 - JIN; Mingzhi ;   et al.
2022-08-25
Utilize pattern recognition to improve SEM contour measurement accuracy and stability automatically
Grant 11,422,473 - Liang , et al. August 23, 2
2022-08-23
Magnetoresistive random-access memory device structure
Grant 11,411,048 - Wu , et al. August 9, 2
2022-08-09
Sparse Convolutional Neural Network
App 20220245433 - Zhang; Chen ;   et al.
2022-08-04
Source-aware technique for facilitating LISP host mobility
Grant 11,405,351 - Xiaopu , et al. August 2, 2
2022-08-02
Power Distribution System And Server System
App 20220232726 - QIN; Zhen ;   et al.
2022-07-21
Fin Top Hard Mask Formation After Wafer Flipping Process
App 20220231021 - Zhang; Chen ;   et al.
2022-07-21
Method and system for collaborative construction of a map
Grant 11,393,114 - Ebrahimi Afrouzi , et al. July 19, 2
2022-07-19
Mobile water quality monitoring platform for fishpond
Grant 11,391,714 - Zhang , et al. July 19, 2
2022-07-19
Interaction method of application scene, mobile terminal, and storage medium
Grant 11,383,166 - Lei , et al. July 12, 2
2022-07-12
Method For Processing Audio And Electronic Device
App 20220215821 - ZHENG; Xiguang ;   et al.
2022-07-07
Masks And Manufacturing Methods Of Masks
App 20220209211 - Zhang; Chen ;   et al.
2022-06-30
Vertical Field Effect Transistor With Crosslink Fin Arrangement
App 20220199776 - Seshadri; Indira ;   et al.
2022-06-23
Method And Device For Extracting Blood Vessel Wall
App 20220198667 - ZHAO; Zhoushe ;   et al.
2022-06-23
Programmable and printable biofilms as engineered living materials
Grant 11,365,224 - Zhong , et al. June 21, 2
2022-06-21
Capacitor-based Synapse Network Structure With Metal Shielding Between Outputs
App 20220188607 - Zhang; Chen ;   et al.
2022-06-16
Cerebral Stroke Early Assessment Method And System, And Brain Region Segmentation Method
App 20220189032 - Rao; Linshang ;   et al.
2022-06-16
Method and apparatus for combining data to construct a floor plan
Grant 11,348,269 - Ebrahimi Afrouzi , et al. May 31, 2
2022-05-31
Simultaneous collaboration, localization, and mapping
Grant 11,340,079 - Ebrahimi Afrouzi , et al. May 24, 2
2022-05-24
Array Substrate And Display Panel
App 20220157859 - ZHANG; Jinfang ;   et al.
2022-05-19
Method For Playing Audio Data, Electronic Device, And Storage Medium
App 20220156036 - LIU; Mengmei ;   et al.
2022-05-19
Tactile sensation providing device and method
Grant 11,334,162 - Sun , et al. May 17, 2
2022-05-17
Method For Encoding Live-streaming Data And Encoding Device
App 20220148603 - XING; Wenhao ;   et al.
2022-05-12
Return gas prevention device for drainage pipe
Grant 11,326,334 - Zhang , et al. May 10, 2
2022-05-10
Finfet Complementary Metal-oxide-semiconductor (cmos) Devices
App 20220139787 - Yamashita; Tenko ;   et al.
2022-05-05
Resilient Electrical Connectors For Electromagnetic Interference Shielding Structures In Integrated Circuit Assemblies
App 20220139843 - LU; Jun ;   et al.
2022-05-05
Method and apparatus for improving range finding system readings
Grant 11,320,523 - Ebrahimi Afrouzi , et al. May 3, 2
2022-05-03
Robotic cleaner
Grant 11,320,828 - Ebrahimi Afrouzi , et al. May 3, 2
2022-05-03
Glycosyl Donor, Preparation Method Therefor, And Use Thereof
App 20220127239 - NIU; Dawen ;   et al.
2022-04-28
Enhanced Vehicle Operation
App 20220126826 - Wang; Lijun ;   et al.
2022-04-28
Forming a backside ground or power plane in a stacked vertical transport field effect transistor
Grant 11,295,985 - Zhang , et al. April 5, 2
2022-04-05
Method and System for Preventing Battery Thermal Runaway
App 20220093983 - LI; Xiaohui ;   et al.
2022-03-24
Stacked gate structures
Grant 11,282,838 - Zhang , et al. March 22, 2
2022-03-22
Loudness adjustment method and apparatus, and electronic device and storage medium
Grant 11,284,151 - Zhang March 22, 2
2022-03-22
Method for constructing a map while performing work
Grant 11,274,929 - Afrouzi , et al. March 15, 2
2022-03-15
Method And Apparatus For Optimizing Sound Quality For Instant Messaging
App 20220076688 - ZHANG; Chen ;   et al.
2022-03-10
Vertical Field Effect Transistor With Self-aligned Source And Drain Top Junction
App 20220059677 - Xie; Ruilong ;   et al.
2022-02-24
Strained nanowire transistor with embedded epi
Grant 11,251,280 - Wu , et al. February 15, 2
2022-02-15
Stacked spin-orbit-torque magnetoresistive random-access memory
Grant 11,251,362 - Wu , et al. February 15, 2
2022-02-15
FinFET complementary metal-oxide-semiconductor (CMOS) devices
Grant 11,244,872 - Yamashita , et al. February 8, 2
2022-02-08
Method for tracking movement of a mobile robotic device
Grant 11,241,791 - Ebrahimi Afrouzi , et al. February 8, 2
2022-02-08
Intraluminal Stent and Preparation Method Therefor
App 20220031481 - Leng; Derong ;   et al.
2022-02-03
Solar cell with reduced surface recombination
Grant 11,239,378 - Zhang February 1, 2
2022-02-01
Wireless Charging Station, Self-moving Device, And Wireless Charging System
App 20220029477 - HE; Mingming ;   et al.
2022-01-27
Green oxidizer compositions and methods
Grant 11,230,508 - Smith, Jr. , et al. January 25, 2
2022-01-25
Substituted 1,3,4-thiadiazoles as GLS1 inhibitors
Grant 11,230,544 - Liao , et al. January 25, 2
2022-01-25
Method For Adding Watermark Information, Method For Extracting Watermark Information, And Electronic Device
App 20220020383 - ZHANG; Chen ;   et al.
2022-01-20
Fabric-Covered Electronic Device with Touch Sensor
App 20220011905 - Li; Zhengyu ;   et al.
2022-01-13
Stacked Gate Structures
App 20220013521 - Zhang; Chen ;   et al.
2022-01-13
Wire Arc Additive Manufacturing Method For High-strength Aluminum Alloy Component, Equipment And Product
App 20220001476 - ZHANG; Chen ;   et al.
2022-01-06
Method for constructing a map while performing work
Grant 11,215,461 - Ebrahimi Afrouzi , et al. January 4, 2
2022-01-04
Vertical Transistor Having An Oxygen-blocking Top Spacer
App 20210408261 - Zhang; Chen ;   et al.
2021-12-30
Method And System For Segmenting Lung Image, And Storage Medium
App 20210390703 - Nie; Yingbin ;   et al.
2021-12-16
Image Registration Method And Model Training Method Thereof
App 20210390716 - Zhang; Chen ;   et al.
2021-12-16
Image Noise Reduction Method And Device
App 20210390668 - Ren; Jialiang ;   et al.
2021-12-16
Versatile mobile platform
Grant 11,199,853 - Afrouzi , et al. December 14, 2
2021-12-14
3D ReRAM formed by metal-assisted chemical etching with replacement wordline and wordline separation
Grant 11,196,001 - Miao , et al. December 7, 2
2021-12-07
Source-aware Technique For Facilitating Lisp Host Mobility
App 20210377208 - Xiaopu; Zhang ;   et al.
2021-12-02
Voice Processing Method, Apparatus, Electronic Device, And Storage Medium
App 20210375298 - ZHANG; Chen ;   et al.
2021-12-02
Loudness Adjustment Method And Apparatus, And Electronic Device And Storage Medium
App 20210368230 - ZHANG; Chen
2021-11-25
Scalable Heat Sink And Magnetic Shielding For High Density Mram Arrays
App 20210359197 - FROUGIER; JULIEN ;   et al.
2021-11-18
Stacked vertical field effect transistor with self-aligned junctions
Grant 11,177,369 - Yu , et al. November 16, 2
2021-11-16
Vertical field effect transistor with self-aligned source and drain top junction
Grant 11,177,370 - Xie , et al. November 16, 2
2021-11-16
VFET bottom epitaxy formed with anchors
Grant 11,171,221 - Zhang , et al. November 9, 2
2021-11-09
Stacked vertical transport field effect transistor contact formation
Grant 11,164,799 - Wu , et al. November 2, 2
2021-11-02
Active front splitter for automobile
Grant 11,161,556 - Zhang , et al. November 2, 2
2021-11-02
Method of forming III-V on insulator structure on semiconductor substrate
Grant 11,164,940 - Cheng , et al. November 2, 2
2021-11-02
Contact formation for stacked vertical transport field-effect transistors
Grant 11,164,791 - Wu , et al. November 2, 2
2021-11-02
Finfet Complementary Metal-oxide-semiconductor (cmos) Devices
App 20210327769 - Yamashita; Tenko ;   et al.
2021-10-21
Vertical field-effect transistor with a bottom contact that exhibits low electrical resistance
Grant 11,152,507 - Zhang , et al. October 19, 2
2021-10-19
System and method for positioning a vehicle with reduced variation
Grant 11,148,488 - Niewiadomski , et al. October 19, 2
2021-10-19
Work Function Metal Patterning For Nanosheet Cfets
App 20210320035 - Xie; Ruilong ;   et al.
2021-10-14
Automatically converting a textual data prompt embedded within a graphical user interface (GUI) to a widget
Grant 11,144,285 - Liu , et al. October 12, 2
2021-10-12
Autonomous versatile vehicle system
Grant 11,144,057 - Ebrahimi Afrouzi , et al. October 12, 2
2021-10-12
Hybrid gate stack integration for stacked vertical transport field-effect transistors
Grant 11,139,215 - Yamashita , et al. October 5, 2
2021-10-05
Fabric-covered electronic device with touch sensor
Grant 11,137,861 - Li , et al. October 5, 2
2021-10-05
Isolation Structure For Stacked Vertical Transistors
App 20210305364 - Li; Juntao ;   et al.
2021-09-30
Vertical Transport Field-effect Transistor Including Replacement Gate
App 20210296494 - Xie; Ruilong ;   et al.
2021-09-23
Integrating Embedded Memory On Cmos Logic Using Thin Film Transistors
App 20210296396 - Wu; Heng ;   et al.
2021-09-23
Shared activation button for trailer features
Grant 11,124,234 - Niewiadomski , et al. September 21, 2
2021-09-21
iFinFET
Grant 11,121,215 - Li , et al. September 14, 2
2021-09-14
Garbage collection method and device
Grant 11,119,911 - Zhang , et al. September 14, 2
2021-09-14
Reducing Gate Resistance In Stacked Vertical Transport Field Effect Transistors
App 20210280578 - WU; Heng ;   et al.
2021-09-09
Source-aware technique for facilitating LISP host mobility
Grant 11,115,374 - Xiaopu , et al. September 7, 2
2021-09-07
Vertical Field Effect Transistor With Self-aligned Source And Drain Top Junction
App 20210273077 - Xie; Ruilong ;   et al.
2021-09-02
Vertical field effect transistors with self aligned source/drain junctions
Grant 11,107,905 - Miao , et al. August 31, 2
2021-08-31
Utilize Pattern Recognition To Improve Sem Contour Measurement Accuracy And Stability Automatically
App 20210263426 - LIANG; Jiao ;   et al.
2021-08-26
Magnetoresistive Random-access Memory Device Structure
App 20210265422 - Wu; Heng ;   et al.
2021-08-26
Junction formation in thick-oxide and thin-oxide vertical FETs on the same chip
Grant 11,101,181 - Miao , et al. August 24, 2
2021-08-24
Stacked Spin-orbit-torque Magnetoresistive Random-access Memory
App 20210257543 - Wu; Heng ;   et al.
2021-08-19
Vertical FET with symmetric junctions
Grant 11,094,798 - Yu , et al. August 17, 2
2021-08-17
Isolation structure for stacked vertical transistors
Grant 11,081,546 - Li , et al. August 3, 2
2021-08-03
Control signals for free-piston engines
Grant 11,078,792 - Sun , et al. August 3, 2
2021-08-03
System and method for trailer height adjustment
Grant 11,077,729 - Zhang , et al. August 3, 2
2021-08-03
Fabrication of vertical fin field effect transistors having top air spacers and a self aligned top junction
Grant 11,081,482 - Cheng , et al. August 3, 2
2021-08-03
Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
Grant 11,081,400 - Cheng , et al. August 3, 2
2021-08-03
Self-aligned Two-dimensional Material Transistors
App 20210233996 - ZHANG; Chen ;   et al.
2021-07-29
Reducing gate resistance in stacked vertical transport field effect transistors
Grant 11,069,679 - Wu , et al. July 20, 2
2021-07-20
Single electron transistor with gap tunnel barriers
Grant 11,069,800 - Cheng , et al. July 20, 2
2021-07-20
Inner spacer and junction formation for integrating extended-gate and standard-gate nanosheet transistors
Grant 11,062,959 - Cheng , et al. July 13, 2
2021-07-13
Flipped vertical field-effect-transistor
Grant 11,062,965 - Cheng , et al. July 13, 2
2021-07-13
Tri-cycle compound and applications thereof
Grant 11,053,260 - He , et al. July 6, 2
2021-07-06
Programmable and Printable Biofilms as Engineered Living Materials
App 20210198325 - Zhong; Chao ;   et al.
2021-07-01
Photographed object recognition method, apparatus, mobile terminal and camera
Grant 11,050,920 - Yu , et al. June 29, 2
2021-06-29
Non-planar field effect transistor devices with low-resistance metallic gate structures
Grant 11,049,935 - Cheng , et al. June 29, 2
2021-06-29
Method For Preparing Physically Modified Starch By Using Heating And Freezing-thawing And Adding Various Edible Gums
App 20210189013 - LIM; Seung-Taik ;   et al.
2021-06-24
Strained Nanowire Transistor With Embedded Epi
App 20210184002 - Wu; Heng ;   et al.
2021-06-17
Method for developing navigation plan in a robotic floor-cleaning device
Grant 11,036,230 - Ebrahimi Afrouzi , et al. June 15, 2
2021-06-15
Non-planar field effect transistor devices with low-resistance metallic gate structures
Grant 11,038,015 - Cheng , et al. June 15, 2
2021-06-15
Tactile Sensation Providing Device And Method
App 20210165491 - Sun; Xiaoying ;   et al.
2021-06-03
3D ReRAM FORMED BY METAL-ASSISTED CHEMICAL ETCHING WITH REPLACEMENT WORDLINE AND WORDLINE SEPARATION
App 20210159409 - Miao; Xin ;   et al.
2021-05-27
Peptide amide compound and preparation method and medical use thereof
Grant 11,014,964 - Zhang , et al. May 25, 2
2021-05-25
Autonomous hospital bed
Grant 11,013,655 - Ebrahimi Afrouzi , et al. May 25, 2
2021-05-25
Nanosheet Transistors With Strained Channel Regions
App 20210151601 - Miao; Xin ;   et al.
2021-05-20
Neural Network Compression Based On Bank-balanced Sparsity
App 20210150362 - Zhang; Chen ;   et al.
2021-05-20
Nanosheet FET including encapsulated all-around source/drain contact
Grant 11,011,643 - Xu , et al. May 18, 2
2021-05-18
Asymmetric gate edge spacing for SRAM structures
Grant 11,011,528 - Reznicek , et al. May 18, 2
2021-05-18
Semiconductor wafer having integrated circuits with bottom local interconnects
Grant 11,011,411 - Zhang , et al. May 18, 2
2021-05-18
Stacked Vertical Transistor Memory Cell With Epi Connections
App 20210143159 - Zhang; Chen ;   et al.
2021-05-13
Stacked vertical transistor memory cell with epi connections
Grant 11,004,856 - Zhang , et al. May 11, 2
2021-05-11
Method And Device For Detecting Slow Node And Computer-readable Storage Medium
App 20210132855 - HUANG; Haibin ;   et al.
2021-05-06
Mechanically stable complementary field effect transistors
Grant 10,998,233 - Xie , et al. May 4, 2
2021-05-04
System And Method For Trailer Height Adjustment
App 20210122416 - Ling; Yu ;   et al.
2021-04-29
Handheld tire inflator
Grant D917,575 - Zhang April 27, 2
2021-04-27
Top via process accounting for misalignment by increasing reliability
Grant 10,991,619 - Zhang , et al. April 27, 2
2021-04-27
Self-aligned two-dimensional material transistors
Grant 10,991,797 - Zhang , et al. April 27, 2
2021-04-27
Replacement sacrificial nanosheets having improved etch selectivity
Grant 10,991,798 - Xu , et al. April 27, 2
2021-04-27
Single Diffusion Break Isolation For Gate-all-around Field-effect Transistor Devices
App 20210118878 - Xu; Wenyu ;   et al.
2021-04-22
Method, Device, And Terminal For Controlling Jitter In Network Communication
App 20210119928 - ZHANG; Chen ;   et al.
2021-04-22
Vertical Transistor Devices With Composite High-k And Low-k Spacers With A Controlled Top Junction
App 20210119043 - Cheng; Kangguo ;   et al.
2021-04-22
Buried power and ground in stacked vertical transport field effect transistors
Grant 10,985,064 - Zhang , et al. April 20, 2
2021-04-20
Single diffusion break isolation for gate-all-around field-effect transistor devices
Grant 10,985,161 - Xu , et al. April 20, 2
2021-04-20
High Mobility Complementary Metal-oxide-semiconductor (cmos) Devices With Fins On Insulator
App 20210111195 - Miao; Xin ;   et al.
2021-04-15
Circuit Wiring Techniques For Stacked Transistor Structures
App 20210111121 - Shao; Dongbing ;   et al.
2021-04-15
System and method for customizing portable natural language processing interface for appliances
Grant 10,978,046 - Huang , et al. April 13, 2
2021-04-13
High mobility complementary metal-oxide-semiconductor (CMOS) devices with fins on insulator
Grant 10,971,522 - Miao , et al. April 6, 2
2021-04-06
System and methods for reverse braking during automated hitch alignment
Grant 10,962,980 - Niewiadomski , et al. March 30, 2
2021-03-30
Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
Grant 10,964,601 - Cheng , et al. March 30, 2
2021-03-30
Hybrid gate stack integration for stacked vertical transport field-effect transistors
Grant 10,964,603 - Yamashita , et al. March 30, 2
2021-03-30
Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
Grant 10,964,602 - Cheng , et al. March 30, 2
2021-03-30
System for detection and response to retreating trailer
Grant 10,960,721 - Niewiadomski , et al. March 30, 2
2021-03-30
Stacked Vertical Field Effect Transistor With Self-aligned Junctions
App 20210091207 - Yu; Lan ;   et al.
2021-03-25
VFET device design for top contact resistance measurement
Grant 10,957,605 - Zhang , et al. March 23, 2
2021-03-23
Hitch assist system
Grant 10,953,711 - Ling , et al. March 23, 2
2021-03-23
Nanosheet transistors with transverse strained channel regions
Grant 10,957,798 - Miao , et al. March 23, 2
2021-03-23
Vertical transistors with different gate lengths
Grant 10,957,693 - Miao , et al. March 23, 2
2021-03-23
Gate fill utilizing replacement spacer
Grant 10,957,763 - Yeung , et al. March 23, 2
2021-03-23
Fin cut etch process for vertical transistor devices
Grant 10,957,783 - Xu , et al. March 23, 2
2021-03-23
Engineered Biofilms
App 20210079049 - Zhong; Chao ;   et al.
2021-03-18
Circuit wiring techniques for stacked transistor structures
Grant 10,950,545 - Shao , et al. March 16, 2
2021-03-16
System and methods for steering control in assisted vehicle operation
Grant 10,946,897 - Niewiadomski , et al. March 16, 2
2021-03-16
Driving Mechanism For An Active Front Splitter
App 20210070379 - MAO; Fengwei ;   et al.
2021-03-11
Active Front Splitter For Automobile
App 20210070380 - ZHANG; Chen ;   et al.
2021-03-11
Self-aligned source/drain contact for vertical field effect transistor
Grant 10,944,013 - Xu , et al. March 9, 2
2021-03-09
Methods for finding the perimeter of a place using observed coordinates
Grant 10,935,383 - Ebrahimi Afrouzi , et al. March 2, 2
2021-03-02
Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
Grant 10,930,756 - Bi , et al. February 23, 2
2021-02-23
Vertical transistor devices with composite high-K and low-K spacers with a controlled top junction
Grant 10,930,778 - Cheng , et al. February 23, 2
2021-02-23
Method and apparatus for task scheduling
Grant 10,922,133 - He , et al. February 16, 2
2021-02-16
Approach to high-k dielectric feature uniformity
Grant 10,916,640 - Yamashita , et al. February 9, 2
2021-02-09
System and method for trailer height adjustment
Grant 10,913,494 - Ling , et al. February 9, 2
2021-02-09
Method and apparatus for combining data to construct a floor plan
Grant 10,915,114 - Ebrahimi Afrouzi , et al. February 9, 2
2021-02-09
Method, Device And Computer Program Product For Storage Management
App 20210034383 - Dong; Dazhi ;   et al.
2021-02-04
Salt-isolated Rain Garden Structure
App 20210029900 - Yu; Bingqin ;   et al.
2021-02-04
Nanosheet with changing SiGe percentage for SiGe lateral recess
Grant 10,910,482 - Cheng , et al. February 2, 2
2021-02-02
Fin field effect transistor devices with modified spacer and gate dielectric thicknesses
Grant 10,910,372 - Miao , et al. February 2, 2
2021-02-02
Hemodynamic Parameter Estimation Based On Image Data
App 20210022617 - Zhao; Zhoushe ;   et al.
2021-01-28
System and method for guiding heading of a mobile robotic device
Grant 10,901,431 - Ebrahimi Afrouzi , et al. January 26, 2
2021-01-26
Vertical fin field effect transistor with reduced gate length variations
Grant 10,903,358 - Zhang , et al. January 26, 2
2021-01-26
Fin field effect transistor devices with modified spacer and gate dielectric thicknesses
Grant 10,903,212 - Miao , et al. January 26, 2
2021-01-26
Phase change memory (PCM) with gradual reset characteristics
Grant 10,902,910 - Cheng , et al. January 26, 2
2021-01-26
Air gap spacer with wrap-around etch stop layer under gate spacer
Grant 10,903,337 - Zhang , et al. January 26, 2
2021-01-26
High threshold voltage FET with the same fin height as regular threshold voltage vertical FET
Grant 10,903,123 - Miao , et al. January 26, 2
2021-01-26
Return Gas Prevention Device For Drainage Pipe
App 20210017754 - ZHANG; YULIAN ;   et al.
2021-01-21
Vertically stacked transistors
Grant 10,896,851 - Cheng , et al. January 19, 2
2021-01-19
Control system of a wind turbine generator
Grant 10,890,160 - Wang , et al. January 12, 2
2021-01-12
Interaction Method Of Application Scene, Mobile Terminal, And Storage Medium
App 20210001228 - LEI; Xiang ;   et al.
2021-01-07
Single-electron transistor with wrap-around gate
Grant 10,886,391 - Cheng , et al. January 5, 2
2021-01-05
Fabrication of a vertical fin field effect transistor (vertical finFET) with a self-aligned gate and fin edges
Grant 10,886,384 - Cheng , et al. January 5, 2
2021-01-05
Method for efficient operation of mobile robotic devices
Grant 10,882,186 - Ebrahimi Afrouzi , et al. January 5, 2
2021-01-05
Stacked Vertical Transport Field Effect Transistor Contact Formation
App 20200411388 - WU; HENG ;   et al.
2020-12-31
Phase Change Memory (pcm) With Gradual Reset Characteristics
App 20200411087 - Cheng; Kangguo ;   et al.
2020-12-31
Wi-fi configuration method, Wi-Fi mobile terminal, and Wi-Fi device
Grant 10,880,817 - Wang , et al. December 29, 2
2020-12-29
Compensation for trailer coupler geometry in automatic hitch operation
Grant 10,870,323 - Niewiadomski , et al. December 22, 2
2020-12-22
Vertical Fet With Symmetric Junctions
App 20200395467 - Yu; Lan ;   et al.
2020-12-17
Safe
Grant D904,724 - Zhang December 8, 2
2020-12-08
Buried Power And Ground In Stacked Vertical Transport Field Effect Transistors
App 20200381300 - ZHANG; Chen ;   et al.
2020-12-03
Single Diffusion Break Isolation For Gate-all-around Field-effect Transistor Devices
App 20200381426 - Xu; Wenyu ;   et al.
2020-12-03
Watch case
Grant D903,508 - Zhang December 1, 2
2020-12-01
Method and device for sound source localization
Grant 10,856,094 - Sun , et al. December 1, 2
2020-12-01
Mobile Water Quality Monitoring Platform For Fishpond
App 20200371083 - ZHANG; CHEN ;   et al.
2020-11-26
System and method for automated vehicle performance analytics
Grant 10,844,820 - Meroux , et al. November 24, 2
2020-11-24
Hybrid Gate Stack Integration For Stacked Vertical Transport Field-effect Transistors
App 20200365469 - Yamashita; Tenko ;   et al.
2020-11-19
System and method for controlling a hybrid vehicle in park or neutral
Grant 10,836,372 - Meyer , et al. November 17, 2
2020-11-17
Asymmetric Gate Edge Spacing For Sram Structures
App 20200357805 - Reznicek; Alexander ;   et al.
2020-11-12
Vertical transistors with different gate lengths
Grant 10,833,073 - Miao , et al. November 10, 2
2020-11-10
III-V segmented finFET free of wafer bonding
Grant 10,833,158 - Miao , et al. November 10, 2
2020-11-10
Selectively formed gate sidewall spacer
Grant 10,833,176 - Cheng , et al. November 10, 2
2020-11-10
Auto-calibrated brake control for vehicles at low speeds
Grant 10,829,099 - Niewiadomski , et al. November 10, 2
2020-11-10
iFinFET
Grant 10,833,157 - Li , et al. November 10, 2
2020-11-10
Forming isolated contacts in a stacked vertical transport field effect transistor (VTFET)
Grant 10,833,081 - Zhang , et al. November 10, 2
2020-11-10
Dual transport orientation for stacked vertical transport field-effect transistors
Grant 10,833,079 - Yamashita , et al. November 10, 2
2020-11-10
Logic gate designs for 3D monolithic direct stacked VTFET
Grant 10,833,069 - Zhang , et al. November 10, 2
2020-11-10
Recombinant Bacterium Capable Of Producing L-lysine, Construction Method Thereof And Production Method Of L-lysine
App 20200347419 - WEN; Tingyi ;   et al.
2020-11-05
Device and method for object recognition of an input image for a vehicle
Grant 10,824,881 - Fattal , et al. November 3, 2
2020-11-03
Reducing Gate Resistance In Stacked Vertical Transport Field Effect Transistors
App 20200343241 - WU; Heng ;   et al.
2020-10-29
Non-contact Neck-based Respiratory And Pulse Signal Detection Method And Apparatus, And Imaging Device
App 20200329976 - CHEN; Huijun ;   et al.
2020-10-22
Isolation Structure For Stacked Vertical Transistors
App 20200335581 - Li; Juntao ;   et al.
2020-10-22
Top Via Process Accounting For Misalignment By Increasing Reliability
App 20200335393 - Zhang; Chen ;   et al.
2020-10-22
Methods for an autonomous robotic device to identify locations captured in an image
Grant 10,810,427 - Ebrahimi Afrouzi , et al. October 20, 2
2020-10-20
Method for constructing a map while performing work
Grant 10,809,071 - Afrouzi , et al. October 20, 2
2020-10-20
Different gate widths for upper and lower transistors in a stacked vertical transport field-effect transistor structure
Grant 10,811,322 - Wu , et al. October 20, 2
2020-10-20
Vertical field effect transistor with uniform gate length
Grant 10,811,495 - Cheng , et al. October 20, 2
2020-10-20
Forming Isolated Contacts In A Stacked Vertical Transport Field Effect Transistor (vtfet)
App 20200328209 - Zhang; Chen ;   et al.
2020-10-15
Stacked Vertical Transport Field Effect Transistors With Anchors
App 20200328206 - Zhang; Chen ;   et al.
2020-10-15
Different Gate Widths For Upper And Lower Transistors In A Stacked Vertical Transport Field-effect Transistor Structure
App 20200328120 - Wu; Heng ;   et al.
2020-10-15
Hybrid Gate Stack Integration For Stacked Vertical Transport Field-effect Transistors
App 20200328127 - Yamashita; Tenko ;   et al.
2020-10-15
Hitch assist system and method for autonomously maneuvering a vehicle in a user-specified target travel direction
Grant 10,802,478 - Niewiadomski , et al. October 13, 2
2020-10-13
Vertical field effect transistor (FET) with controllable gate length
Grant 10,796,967 - Cheng , et al. October 6, 2
2020-10-06
Vertical FET with various gate lengths by an oxidation process
Grant 10,796,966 - Miao , et al. October 6, 2
2020-10-06
Method and device for controlling vehicle and autonomous driving vehicle
Grant 10,795,357 - Feng , et al. October 6, 2
2020-10-06
Perpendicular stacked field-effect transistor device
Grant 10,790,271 - Xu , et al. September 29, 2
2020-09-29
Control method and control system for enhancing endurance to anomalous voltage for doubly-fed induction generator
Grant 10,790,769 - Wang , et al. September 29, 2
2020-09-29
Semiconductor Wafer Having Integrated Circuits With Bottom Local Interconnects
App 20200303244 - Zhang; Chen ;   et al.
2020-09-24
Stacked Vertical Field-effect Transistors With Sacrificial Layer Patterning
App 20200303263 - Zhang; Chen ;   et al.
2020-09-24
Nanosheet with changing SiGe pecentage for SiGe lateral recess
Grant 10,784,364 - Cheng , et al. Sept
2020-09-22
Self-aligned Two-dimensional Material Transistors
App 20200295132 - ZHANG; Chen ;   et al.
2020-09-17
Stacked vertical field-effect transistors with sacrificial layer patterning
Grant 10,777,468 - Zhang , et al. Sept
2020-09-15
Autonomous vehicle acceleration profile
Grant 10,773,597 - Zhao , et al. September 15, 2
2020-09-15
Circuit Wiring Techniques For Stacked Transistor Structures
App 20200286831 - Shao; Dongbing ;   et al.
2020-09-10
Forming A Backside Ground Or Power Plane In A Stacked Vertical Transport Field Effect Transistor
App 20200286793 - Zhang; Chen ;   et al.
2020-09-10
Processing Object-based Audio Signals
App 20200288260 - SEEFELDT; Alan J. ;   et al.
2020-09-10
Mechanically Stable Complementary Field Effect Transistors
App 20200286788 - Xie; Ruilong ;   et al.
2020-09-10
Hitch assist system
Grant 10,768,633 - Ling , et al. Sep
2020-09-08
Ascertaining an offset of an inertial sensor
Grant 10,766,468 - Zhang , et al. Sep
2020-09-08
Nanosheet MOSFET with gate fill utilizing replacement spacer
Grant 10,763,327 - Yeung , et al. Sep
2020-09-01
Contact Formation For Stacked Vertical Transport Field-effect Transistors
App 20200273755 - Wu; Heng ;   et al.
2020-08-27
Voice-based user interface with dynamically switchable endpoints
Grant 10,755,706 - Huang , et al. A
2020-08-25
Double gate two-dimensional material transistor
Grant 10,756,205 - Xu , et al. A
2020-08-25
Double Gate Two-dimensional Material Transistor
App 20200259009 - A1
2020-08-13
Hybrid high mobility channel transistors
Grant 10,741,557 - Miao , et al. A
2020-08-11
Increased source and drain contact edge width in two-dimensional material field effect transistors by directed self-assembly
Grant 10,741,681 - Liu , et al. A
2020-08-11
Method and apparatus for combining data to construct a floor plan
Grant 10,740,920 - Ebrahimi Afrouzi , et al. A
2020-08-11
Nanosheet Transistors With Strained Channel Regions
App 20200251593 - Kind Code
2020-08-06
Tri-cycle Compound And Applications Thereof
App 20200247819 - Kind Code
2020-08-06
Prevention of extension narrowing in nanosheet field effect transistors
Grant 10,734,502 - Yamashita , et al.
2020-08-04
Metal gate structure having gate metal layer with a top portion width smaller than a bottom portion width to reduce transistor gate resistance
Grant 10,734,501 - Miao , et al.
2020-08-04
Fabrication of a pair of vertical fin field effect transistors having a merged top source/drain
Grant 10,734,287 - Cheng , et al.
2020-08-04
Methods and system for controlling engine starting
Grant 10,724,458 - Zhao , et al.
2020-07-28
Three-dimensional monolithic vertical field effect transistor logic gates
Grant 10,727,139 - Hook , et al.
2020-07-28
Replacement Sacrificial Nanosheets Having Improved Etch Selectivity
App 20200235206 - Xu; Wenyu ;   et al.
2020-07-23
Method For Ascertaining The Position Of The Center Of Gravity Of A Vehicle
App 20200231167 - Zhang; Chen ;   et al.
2020-07-23
System and Method for Providing Portable Natural Language Processing Interface Across Multiple Appliances
App 20200234711 - HUANG; Haibin ;   et al.
2020-07-23
Carbon molecular sieve membranes for aggressive gas separations
Grant 10,717,041 - Zhang , et al.
2020-07-21
EGFR kinase inhibitor and preparation method and use thereof
Grant 10,710,979 - Ma , et al.
2020-07-14
Electrospun Composite Separator For Electrochemical Devices And Applications Of Same
App 20200220219 - Wang; Jimmy ;   et al.
2020-07-09
Vehicle transmission operation
Grant 10,703,354 - Zhang , et al.
2020-07-07
Dual Transport Orientation For Stacked Vertical Transport Field-effect Transistors
App 20200212036 - Yamashita; Tenko ;   et al.
2020-07-02
Reduced resistance source and drain extensions in vertical field effect transistors
Grant 10,700,195 - Xu , et al.
2020-06-30
Optimizing regenerative braking efficiency in a hybrid vehicle
Grant 10,696,164 - Zhao , et al.
2020-06-30
Method and apparatus for improving range finding system readings
Grant 10,690,757 - Ebrahimi Afrouzi , et al.
2020-06-23
Vertical transport field-effect transistor architecture
Grant 10,692,768 - Rubin , et al.
2020-06-23
Automatically Converting A Textual Data Prompt Embedded Within A Graphical User Interface (gui) To A Widget
App 20200192645 - Liu; Chih-Hsiung ;   et al.
2020-06-18
Vertical FET process with controlled gate length and self-aligned junctions
Grant 10,680,082 - Yamashita , et al.
2020-06-09
Method Of Forming Iii-v On Insulator Structure On Semiconductor Substrate
App 20200176558 - CHENG; KANGGUO ;   et al.
2020-06-04
System And Method For Automated Vehicle Performance Analytics
App 20200173412 - MEROUX; Dominique ;   et al.
2020-06-04
Peptide Amide Compound And Preparation Method And Medical Use Thereof
App 20200172573 - ZHANG; Chen ;   et al.
2020-06-04
Flipped Vertical Field-effect-transistor
App 20200176335 - CHENG; Kangguo ;   et al.
2020-06-04
Vertical transistors having improved gate length control
Grant 10,672,888 - Cheng , et al.
2020-06-02
Fabrication Of A Pair Of Vertical Fin Field Effect Transistors Having A Merged Top Source/drain
App 20200168510 - Cheng; Kangguo ;   et al.
2020-05-28
VFET Device with Controllable Top Spacer
App 20200168702 - Xu; Wenyu ;   et al.
2020-05-28
Fabrication Of A Pair Of Vertical Fin Field Effect Transistors Having A Merged Top Source/drain
App 20200168512 - Cheng; Kangguo ;   et al.
2020-05-28
Fabrication Of A Pair Of Vertical Fin Field Effect Transistors Having A Merged Top Source/drain
App 20200168511 - Cheng; Kangguo ;   et al.
2020-05-28
Method of forming III-V on insulator structure on semiconductor substrate
Grant 10,665,666 - Cheng , et al.
2020-05-26
Vertical transistors having improved gate length control
Grant 10,665,694 - Cheng , et al.
2020-05-26
Approach To High-k Dielectric Feature Uniformity
App 20200161452 - Yamashita; Tenko ;   et al.
2020-05-21
Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between gates
Grant 10,658,493 - Bi , et al.
2020-05-19
Self-aligned gate cut in direct stacked vertical transport field effect transistor (VTFET)
Grant 10,658,481 - Zhang , et al.
2020-05-19
Self-aligned vertical fin field effect transistor with replacement gate structure
Grant 10,658,246 - Zhang , et al.
2020-05-19
Junction Formation In Thick-oxide And Thin-oxide Vertical Fets On The Same Chip
App 20200152517 - Miao; Xin ;   et al.
2020-05-14
Gate Spacer And Inner Spacer Formation For Nanosheet Transistors Having Relatively Small Space Between Gates
App 20200152764 - Bi; Zhenxing ;   et al.
2020-05-14
Tunnel Field-effect Transistor With Reduced Subthreshold Swing
App 20200152790 - Miao; Xin ;   et al.
2020-05-14
Shared Activation Button For Trailer Features
App 20200148257 - Niewiadomski; Luke ;   et al.
2020-05-14
Tunnel Field-effect Transistor With Reduced Subthreshold Swing
App 20200152789 - Miao; Xin ;   et al.
2020-05-14
System and method for providing portable natural language processing interface across multiple appliances
Grant 10650819 -
2020-05-12
Increased Source And Drain Contact Edge Width In Two-dimensional Material Field Effect Transistors By Directed Self-assembly
App 20200144406 - Liu; Chi-Chun ;   et al.
2020-05-07
Vertical Field-effect Transistor With A Bottom Contact That Exhibits Low Electrical Resistance
App 20200144416 - Zhang; Chen ;   et al.
2020-05-07
Tunnel field-effect transistor with reduced subthreshold swing
Grant 10644150 -
2020-05-05
Device Variation Control Of Vertical Transport Fin Field Effect Transistor Devices By Selective Oxide Deposition For Shallow Tre
App 20200135873 - Wu; Heng ;   et al.
2020-04-30
Self-aligned Gate Cut In Direct Stacked Vertical Transport Field Effect Transistor (vtfet)
App 20200135877 - Zhang; Chen ;   et al.
2020-04-30
Application Of Auxiliary Lighting In Automatic Hitch Operation
App 20200130744 - Niewiadomski; Luke ;   et al.
2020-04-30
Vertical transport field effect transistor on silicon with defined junctions
Grant 10636895 -
2020-04-28
Hitch assist system
Grant 10632803 -
2020-04-28
System And Method For Providing Portable Natural Language Processing Interface Across Multiple Appliances
App 20200118559 - HUANG; Haibin ;   et al.
2020-04-16
Vertical Transistor Devices With Composite High-k And Low-k Spacers With A Controlled Top Junction
App 20200119190 - Cheng; Kangguo ;   et al.
2020-04-16
System And Method For Customizing Portable Natural Language Processing Interface For Appliances
App 20200118548 - Huang; Haibin ;   et al.
2020-04-16
VFET device design for top contact resistance measurement
Grant 10622257 -
2020-04-14
Nanosheet semiconductor structure with inner spacer formed by oxidation
Grant 10615258 -
2020-04-07
Discovering and plotting the boundary of an enclosure
Grant 10612929 -
2020-04-07
Non-planar field effect transistor devices with low-resistance metallic gate structures
Grant 10608083 -
2020-03-31
Method and Device for Controlling Vehicle and Autonomous Driving Vehicle
App 20200089223 - FENG; Hongwei ;   et al.
2020-03-19
Vertical Fin Field Effect Transistor With A Reduced Gate-to-bottom Source/drain Parasitic Capacitance
App 20200091316 - Zhang; Chen ;   et al.
2020-03-19
Solar Cell With Reduced Surface Recombination
App 20200091353 - ZHANG; CHEN
2020-03-19
Vertical field effect transistor (VFET) device with controllable top spacer
Grant 10593753 -
2020-03-17
Fabrication Of A Vertical Transistor With Self-aligned Bottom Source/drain
App 20200083106 - Cheng; Kangguo ;   et al.
2020-03-12
Fabrication Of Vertical Fin Field Effect Transistors Having Top Air Spacers And A Self-aligned Top Junction
App 20200083217 - Cheng; Kangguo ;   et al.
2020-03-12
Fin Cut Etch Process For Vertical Transistor Devices
App 20200083355 - Xu; Wenyu ;   et al.
2020-03-12
Vertical Fin Field Effect Transistor With A Reduced Gate-to-bottom Source/drain Parasitic Capacitance
App 20200083353 - Zhang; Chen ;   et al.
2020-03-12
Non-planar Field Effect Transistor Devices With Low-resistance Metallic Gate Structures
App 20200075719 - Cheng; Kangguo ;   et al.
2020-03-05
Non-planar Field Effect Transistor Devices With Low-resistance Metallic Gate Structures
App 20200075717 - Cheng; Kangguo ;   et al.
2020-03-05
Fin Field Effect Transistor Devices With Modified Spacer And Gate Dielectric Thicknesses
App 20200075589 - Miao; Xin ;   et al.
2020-03-05
Non-planar Field Effect Transistor Devices With Low-resistance Metallice Gate Structures
App 20200075720 - Cheng; Kangguo ;   et al.
2020-03-05
Prevention Of Extension Narrowing In Nanosheet Field Effect Transistors
App 20200075744 - Yamashita; Tenko ;   et al.
2020-03-05
Fin Field Effect Transistor Devices With Modified Spacer And Gate Dielectric Thicknesses
App 20200075587 - Miao; Xin ;   et al.
2020-03-05
System And Methods For Steering Control In Assisted Vehicle Operation
App 20200070887 - Niewiadomski; Luke ;   et al.
2020-03-05
Nanosheet Fet Including All-around Source/drain Contact
App 20200075772 - Xu; Peng ;   et al.
2020-03-05
Ifinfet
App 20200075721 - Li; Juntao ;   et al.
2020-03-05
Self-aligned Source/drain Contact For Vertical Field Effect Transistor
App 20200075775 - Xu; Wenyu ;   et al.
2020-03-05
Robotic Vacuum with Rotating Cleaning Apparatus
App 20200069134 - Ebrahimi Afrouzi; Ali ;   et al.
2020-03-05
System And Methods For Reverse Braking During Automated Hitch Alignment
App 20200073398 - Niewiadomski; Luke ;   et al.
2020-03-05
Fabric-Covered Electronic Device with Touch Sensor
App 20200073511 - Li; Zhengyu ;   et al.
2020-03-05
Vertical transistors with different gate lengths
Grant 10580770 -
2020-03-03
High Mobility Complementary Metal-oxide-semiconductor (cmos) Devices With Fins On Insulator
App 20200066896 - Miao; Xin ;   et al.
2020-02-27
Self-aligned Vertical Fin Field Effect Transistor With Replacement Gate Structure
App 20200066599 - Zhang; Chen ;   et al.
2020-02-27
Automatically converting a textual data prompt embedded within a graphical user interface (GUI) to a widget
Grant 10572232 -
2020-02-25
Hitch assist system
App 20200055356 - Niewiadomski; Luke ;   et al.
2020-02-20
Metal Gate Structure To Reduce Transistor Gate Resistance
App 20200058764 - Miao; Xin ;   et al.
2020-02-20
Method for preparing regenerated cellulose fibers having anti-bacteria, anti-mite and anti-mould functions and the use thereof
Grant 10563322 -
2020-02-18
Vertical fin field effect transistor with a reduced gate-to-bottom source/drain parasitic capacitance
Grant 10566444 -
2020-02-18
Vertical Field Effect Transistors With Self Aligned Source/drain Junctions
App 20200052095 - Miao; Xin ;   et al.
2020-02-13
System and method for adjusting battery state of charge parameters
Grant 10556497 -
2020-02-11
System And Method For Trailer Height Adjustment
App 20200039582 - Ling; Yu ;   et al.
2020-02-06
Vertical FET with Various Gate Lengths by an Oxidation Process
App 20200043798 - Miao; Xin ;   et al.
2020-02-06
Vertical Transistors with Different Gate Lengths
App 20200043915 - Miao; Xin ;   et al.
2020-02-06
Vertical Transistors with Different Gate Lengths
App 20200043916 - Miao; Xin ;   et al.
2020-02-06
Vertical Field Effect Transistors With Self Aligned Source/drain Junctions
App 20200044056 - Miao; Xin ;   et al.
2020-02-06
Vehicle powertrain controller and method
Grant 10549745 -
2020-02-04
VFET Device Design for Top Contact Resistance Measurement
App 20200035565 - Zhang; Chen ;   et al.
2020-01-30
Hitch assist system
Grant 10543870 -
2020-01-28
Hitch Assist System
App 20200023696 - Ling; Yu ;   et al.
2020-01-23
Compensation For Trailer Coupler Height In Automatic Hitch Operation
App 20200023695 - Niewiadomski; Luke ;   et al.
2020-01-23
Hitch Assist System
App 20200023902 - Niewiadomski; Luke ;   et al.
2020-01-23
Hitch Assist System
App 20200019182 - Ling; Yu ;   et al.
2020-01-16
Vertically Stacked Transistors
App 20200020587 - Cheng; Kangguo ;   et al.
2020-01-16
Vertical Field Effect Transistor (vfet)device With Controllable Top Spacer
App 20200020767 - Xu; Wenyu ;   et al.
2020-01-16
High Threshold Voltage Fet With The Same Fin Height As Regular Threshold Voltage Vertical Fet
App 20200013677 - MIAO; Xin ;   et al.
2020-01-09
Forming On-chip Metal-insulator-semiconductor Capacitor
App 20200013773 - Bi; Zhenxing ;   et al.
2020-01-09
Method of manufacturing a semiconductor device having a metal gate with different lateral widths between spacers
Grant 10529823 -
2020-01-07
Hitch Assist System
App 20200001919 - Niewiadomski; Luke ;   et al.
2020-01-02
Nanosheet Transistor Gate Structure Having Reduced Parasitic Capacitance
App 20200006477 - Cheng; Kangguo ;   et al.
2020-01-02
Iii-v Segmented Finfet Free Of Wafer Bonding
App 20200006485 - Miao; Xin ;   et al.
2020-01-02
Vehicle Hitch Assist System
App 20200001790 - Ling; Yu ;   et al.
2020-01-02
Fin field-effect transistor for input/output device integrated with nanosheet field-effect transistor
Grant 10522636 -
2019-12-31
System For Detection And Response To Retreating Trailer
App 20190389260 - Niewiadomski; Luke ;   et al.
2019-12-26
System And Method For Positioning A Vehicle With Reduced Variation
App 20190389262 - Niewiadomski; Luke ;   et al.
2019-12-26
System And Method For Trailer Height Adjustment
App 20190389261 - Zhang; Chen ;   et al.
2019-12-26
Progress bar with graphical effects to indicate download progress and connection state
Grant 10509532 -
2019-12-17
Fin Field Effect Transistor Devices With Modified Spacer And Gate Dielectric Thicknesses
App 20190378837 - Miao; Xin ;   et al.
2019-12-12
Vertical field effect transistors with self aligned source/drain junctions
Grant 10505019 -
2019-12-10
Method for preparing regenerated cellulose fibers having anti-bacteria, anti-mite and anti-mould functions and the use thereof
Grant 10501870 -
2019-12-10
Self-aligned source/drain contact for vertical field effect transistor
Grant 10505048 -
2019-12-10
Method Of Manufacturing A Semiconductor Device Having An Inverted T-shaped Metal Gate Between Inner Spacers
App 20190371913 - Miao; Xin ;   et al.
2019-12-05
Increased Source And Drain Contact Edge Width In Two-dimensional Material Field Effect Transistors By Directed Self-assembly
App 20190371925 - Liu; Chi-Chun ;   et al.
2019-12-05
Tunnel Field-effect Transistor With Reduced Subthreshold Swing
App 20190371911 - Miao; Xin ;   et al.
2019-12-05
Hybrid High Mobility Channel Transistors
App 20190363083 - MIAO; Xin ;   et al.
2019-11-28
Vehicle Transmission Operation
App 20190351891 - Zhang; Chen ;   et al.
2019-11-21
Automatically Converting A Textual Data Prompt Embedded Within A Graphical User Interface (gui) To A Widget
App 20190354351 - Liu; Chih-Hsiung ;   et al.
2019-11-21
Vertical Transport Field Effect Transistor On Silicon With Defined Junctions
App 20190355834 - Zhang; Chen ;   et al.
2019-11-21
Vertical Field Effect Transistors With Self Aligned Source/drain Junctions
App 20190355833 - Miao; Xin ;   et al.
2019-11-21
Auto-Calibrated Brake Control For Vehicles At Low Speeds
App 20190344763 - Niewiadomski; Luke ;   et al.
2019-11-14
Solar Cell With Reduced Surface Recombination
App 20190348548 - ZHANG; CHEN
2019-11-14
Iii-v-segmented Finfet Free Of Wafer Bonding
App 20190341452 - MIAO; Xin ;   et al.
2019-11-07
Gate Spacer And Inner Spacer Formation For Nanosheet Transistors Having Relatively Small Space Between Gates
App 20190341465 - Bi; Zhenxing ;   et al.
2019-11-07
Forming A Combination Of Long Channel Devices And Vertical Transport Fin Field Effect Transistors On The Same Substrate
App 20190341489 - Chi; Cheng ;   et al.
2019-11-07
Method And Device For Sound Source Localization
App 20190342688 - SUN; Xuejing ;   et al.
2019-11-07
Device and Method for Object Recognition of an Input Image for a Vehicle
App 20190332873 - FATTAL; Ann-Katrin ;   et al.
2019-10-31
Forming A Combination Of Long Channel Devices And Vertical Transport Fin Field Effect Transistors On The Same Substrate
App 20190334033 - Chi; Cheng ;   et al.
2019-10-31
Logic Gate Designs for 3D Monolithic Direct Stacked VTFET
App 20190326279 - Zhang; Chen ;   et al.
2019-10-24
Vertical Transistors Having Improved Control Of Parasitic Capacitance And Source/drain-to-channel Resistance
App 20190319099 - Cheng; Kangguo ;   et al.
2019-10-17
High Threshold Voltage Fet With The Same Fin Height As Regular Threshold Voltage Vertical Fet
App 20190318963 - MIAO; Xin ;   et al.
2019-10-17
Perpendicular Stacked Field-effect Transistor Device
App 20190319021 - Xu; Zheng ;   et al.
2019-10-17
Vertical Fin Field Effect Transistor With Reduced Gate Length Variations
App 20190312140 - Zhang; Chen ;   et al.
2019-10-10
VFET Bottom Epitaxy Formed with Anchors
App 20190312129 - Zhang; Chen ;   et al.
2019-10-10
Nanosheet Devices With Different Types Of Work Function Metals
App 20190304848 - Cheng; Kangguo ;   et al.
2019-10-03
Gate Spacer And Inner Spacer Formation For Nanosheet Transistors Having Relatively Small Space Between Gates
App 20190305106 - Bi; Zhenxing ;   et al.
2019-10-03

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed