loadpatents
name:-0.86808609962463
name:-0.15742206573486
name:-0.26013493537903
Yang; Feng-Cheng Patent Filings

Yang; Feng-Cheng

Patent Applications and Registrations

Patent applications and USPTO patent grants for Yang; Feng-Cheng.The latest application filed is for "merged source/drain features".

Company Profile
80.83.136
  • Yang; Feng-Cheng - Hsinchu County TW
  • Yang; Feng-Cheng - Zhudong Township TW
  • Yang; Feng-Cheng - Hsinchu TW
  • Yang; Feng-Cheng - sinchu County TW
  • Yang; Feng-Cheng - Zhundong Township Hsinchu County TW
  • YANG; FENG-CHENG - TAIPEI CITY TW
  • Yang; Feng-Cheng - Zhudong TW
  • Yang; Feng-Cheng - Hsin-Chu TW
  • Yang; Feng-Cheng - Hsin-Chu City TW
  • Yang; Feng-Cheng - Zhudong Township, Hsinchu County N/A TW
  • Yang; Feng-Cheng - Taipei TW
  • Yang; Feng-Cheng - Tainan County TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Air gap formation between gate spacer and epitaxy structure
Grant 11,456,295 - Lai , et al. September 27, 2
2022-09-27
Merged Source/drain Features
App 20220302299 - Lin; Chun-An ;   et al.
2022-09-22
High Selectivity Isolation Structure For Improving Effectiveness Of 3d Memory Fabrication
App 20220285395 - Yang; Tsu Ching ;   et al.
2022-09-08
Protective Liner Layers In 3d Memory Structure
App 20220285384 - Yang; Tsu Ching ;   et al.
2022-09-08
Reducing parasitic capacitance in semiconductor devices
Grant 11,437,469 - Yu , et al. September 6, 2
2022-09-06
Three-Dimensional Memory Device and Method
App 20220278130 - Yang; Feng-Cheng ;   et al.
2022-09-01
Semiconductor Memory Structures And Method Of Forming The Same
App 20220278127 - Sun; Hung-Chang ;   et al.
2022-09-01
Memory array staircase structure
Grant 11,423,966 - Lin , et al. August 23, 2
2022-08-23
Memory Device And Manufacturing Method Thereof
App 20220231049 - Lin; Meng-Han ;   et al.
2022-07-21
Memory Device And Manufacturing Method Thereof
App 20220223618 - Chu; Feng-Ching ;   et al.
2022-07-14
Methods Of Forming Epitaxial Source/Drain Features In Semiconductor Devices
App 20220223689 - Hsu; Tzu-Hsiang ;   et al.
2022-07-14
Memory Structure And Method Of Forming The Same
App 20220223622 - Chien; Shih-Hsuan ;   et al.
2022-07-14
Transistors with Recessed Silicon Cap and Method Forming Same
App 20220223591 - Chen; Yen-Ting ;   et al.
2022-07-14
Semiconductor device and method
Grant 11,362,199 - Wong , et al. June 14, 2
2022-06-14
Method For Epitaxial Growth And Device
App 20220181469 - Hsu; Tzu-Hsiang ;   et al.
2022-06-09
Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
Grant 11,355,400 - Chen , et al. June 7, 2
2022-06-07
Three-dimensional memory device and method
Grant 11,355,516 - Yang , et al. June 7, 2
2022-06-07
Merged source/drain features
Grant 11,355,641 - Lin , et al. June 7, 2
2022-06-07
Semiconductor Device and Method
App 20220130730 - Yang; Cheng-Yu ;   et al.
2022-04-28
Transistors with recessed silicon cap and method forming same
Grant 11,296,077 - Chen , et al. April 5, 2
2022-04-05
Post Gate Dielectric Processing for Semiconductor Device Fabrication
App 20220102221 - Hsu; Chih-Yu ;   et al.
2022-03-31
Methods of forming epitaxial source/drain features in semiconductor devices
Grant 11,289,574 - Hsu , et al. March 29, 2
2022-03-29
Semiconductor Device with Self-Aligned Wavy Contact Profile and Method of Forming the Same
App 20220093800 - Yu; Chia-Ta ;   et al.
2022-03-24
Method for epitaxial growth and device
Grant 11,257,928 - Hsu , et al. February 22, 2
2022-02-22
Memory Array Staircase Structure
App 20220036931 - Lin; Meng-Han ;   et al.
2022-02-03
Three-Dimensional Memory Device and Method
App 20220037362 - Lin; Meng-Han ;   et al.
2022-02-03
Three-Dimensional Memory Device and Method
App 20220037361 - Lin; Meng-Han ;   et al.
2022-02-03
Memory Device, Semiconductor Device And Manufacturing Method Thereof
App 20220028893 - Lin; Meng-Han ;   et al.
2022-01-27
Three-Dimensional Memory Device and Method
App 20220020771 - Yang; Feng-Cheng ;   et al.
2022-01-20
Three-Dimensional Memory Device and Method
App 20220020770 - Yang; Feng-Cheng ;   et al.
2022-01-20
Semiconductor device and method
Grant 11,217,486 - Yang , et al. January 4, 2
2022-01-04
Source/drain features with an etch stop layer
Grant 11,217,490 - Chu , et al. January 4, 2
2022-01-04
Three-dimensional Memory Device And Method
App 20210407848 - Chia; Han-Jong ;   et al.
2021-12-30
Three-dimensional Stackable Ferroelectric Random Access Memory Devices And Methods Of Forming
App 20210408042 - Lin; Meng-Han ;   et al.
2021-12-30
3d Memory Array Contact Structures
App 20210408038 - Lin; Meng-Han ;   et al.
2021-12-30
Memory Device, Semiconductor Device And Manufacturing Method Of The Memory Device
App 20210398989 - Lin; Meng-Han ;   et al.
2021-12-23
Semiconductor device with air-spacer
Grant 11,201,228 - Lee , et al. December 14, 2
2021-12-14
Method For Forming Different Types Of Devices
App 20210384198 - Chu; Feng-Ching ;   et al.
2021-12-09
Methods of Forming Epitaxial Structures in Fin-Like Field Effect Transistors
App 20210384081 - Chu; Feng-Ching ;   et al.
2021-12-09
Semiconductor device with self-aligned wavy contact profile and method of forming the same
Grant 11,195,951 - Yu , et al. December 7, 2
2021-12-07
Three-dimensional Memory Device And Manufacturing Method Thereof
App 20210375938 - Lin; Meng-Han ;   et al.
2021-12-02
Novel 3d Ram Sl/bl Contact Modulation
App 20210375919 - Wang; Sheng-Chen ;   et al.
2021-12-02
Reducing Parasitic Capacitance in Semiconductor Devices
App 20210376072 - Yu; Chia-Ta ;   et al.
2021-12-02
Integrated Circuit Device with Source/Drain Barrier
App 20210376077 - Chu; Feng-Ching ;   et al.
2021-12-02
3d Ferroelectric Memory
App 20210375932 - Wang; Sheng-Chen ;   et al.
2021-12-02
Three-Dimensional Memory Device and Method
App 20210375927 - Chia; Han-Jong ;   et al.
2021-12-02
Methods of reducing parasitic capacitance in multi-gate field-effect transistors
Grant 11,189,705 - Wong , et al. November 30, 2
2021-11-30
Selective Gate Air Spacer Formation
App 20210351277 - Yang; Chih-Hsin ;   et al.
2021-11-11
FINFET Device with Wrapped-Around Epitaxial Structure and Manufacturing Method Thereof
App 20210343599 - Yang; Cheng-Yu ;   et al.
2021-11-04
Semiconductor Device and Method
App 20210336048 - Lee; Chien-Wei ;   et al.
2021-10-28
Methods of Reducing Parasitic Capacitance in Semiconductor Devices
App 20210313233 - Lee; Kai-Hsuan ;   et al.
2021-10-07
Multi-Layer High-K Gate Dielectric Structure
App 20210305258 - Hsu; Chih-Yu ;   et al.
2021-09-30
Forming transistor by selectively growing gate spacer
Grant 11,133,229 - Lee , et al. September 28, 2
2021-09-28
Semiconductor Structure and Method for Semiconductor Device Fabrication with Improved Source Drain Epitaxy
App 20210296498 - Lee; Wei-Yang ;   et al.
2021-09-23
Method For Forming Semiconductor Device
App 20210280579 - LIN; Chung-Te ;   et al.
2021-09-09
Epitaxial Structures for Fin-Like Field Effect Transistors
App 20210280696 - Yu; Chia-Ta ;   et al.
2021-09-09
Semiconductor Device with Air-Spacer
App 20210273071 - Lee; Wei-Yang ;   et al.
2021-09-02
Method Of Forming Semiconductor Device
App 20210273101 - LEE; Wei-Yang ;   et al.
2021-09-02
Epitaxial Features Of Semiconductor Devices And Related Methods
App 20210273079 - CHU; Feng-Ching ;   et al.
2021-09-02
Methods of forming epitaxial structures in fin-like field effect transistors
Grant 11,107,735 - Chu , et al. August 31, 2
2021-08-31
Semiconductor device and manufacturing method thereof
Grant 11,107,734 - Lee , et al. August 31, 2
2021-08-31
Epitaxial Features Of Semiconductor Devices And Related Methods
App 20210257482 - CHU; Feng-Ching ;   et al.
2021-08-19
Semiconductor Devices and Methods of Forming the Same
App 20210257261 - Chu; Feng-Ching ;   et al.
2021-08-19
Semiconductor Device and Method
App 20210257260 - Chu; Feng-Ching ;   et al.
2021-08-19
Integrated circuit device with source/drain barrier
Grant 11,088,245 - Chu , et al. August 10, 2
2021-08-10
Flexible Merge Scheme for Source/Drain Epitaxy Regions
App 20210242217 - Lee; Kai-Hsuan ;   et al.
2021-08-05
FinFET device with wrapped-around epitaxial structure and manufacturing method thereof
Grant 11,062,957 - Yang , et al. July 13, 2
2021-07-13
Semiconductor device and method
Grant 11,063,152 - Lee , et al. July 13, 2
2021-07-13
Methods of Forming Epitaxial Source/Drain Feautures in Semiconductor Devices
App 20210202699 - Hsu; Tzu-Hsiang ;   et al.
2021-07-01
Merged Source/Drain Features
App 20210202733 - Lin; Chun-An ;   et al.
2021-07-01
Semiconductor Device And Methods Of Manufacture
App 20210193534 - Chen; Yen-Ting ;   et al.
2021-06-24
Methods of reducing parasitic capacitance in semiconductor devices
Grant 11,043,425 - Lee , et al. June 22, 2
2021-06-22
Self-Aligned Contact Air Gap Formation
App 20210183996 - Lee; Kai-Hsuan ;   et al.
2021-06-17
Semiconductor structure with improved source drain epitaxy
Grant 11,031,498 - Lee , et al. June 8, 2
2021-06-08
Epitaxial structures for fin-like field effect transistors
Grant 11,018,245 - Yu , et al. May 25, 2
2021-05-25
Semiconductor device with epitaxial source/drain
Grant 11,018,224 - Yu , et al. May 25, 2
2021-05-25
Semiconductor device and method for manufacturing the same
Grant 11,018,134 - Lin , et al. May 25, 2
2021-05-25
Elongated source/drain region structure in finFET device
Grant 11,011,634 - Lee , et al. May 18, 2
2021-05-18
Conformal Transfer Doping Method for Fin-Like Field Effect Transistor
App 20210134985 - Yeong; Sai-Hooi ;   et al.
2021-05-06
Semiconductor Device and Method of Manufacture
App 20210126104 - Chen; Yen-Ting ;   et al.
2021-04-29
Using a Metal-Containing Layer as an Etching Stop Layer and to Pattern Source/Drain Regions of a FinFET
App 20210118744 - Chen; Yen-Ting ;   et al.
2021-04-22
Air Gap Formation Between Gate Spacer And Epitaxy Structure
App 20210118749 - LAI; Bo-Yu ;   et al.
2021-04-22
Method For Forming Semiconductor Device Structure With Cap Layer
App 20210119049 - CHU; Feng-Ching ;   et al.
2021-04-22
Flexible merge scheme for source/drain epitaxy regions
Grant 10,985,167 - Lee , et al. April 20, 2
2021-04-20
Integrated Circuit with Sidewall Spacers for Gate Spacers
App 20210111265 - Chen; Yen-Ting ;   et al.
2021-04-15
Merged source/drain features
Grant 10,950,730 - Lin , et al. March 16, 2
2021-03-16
Semiconductor Device and Method
App 20210057567 - Lee; Chien-Wei ;   et al.
2021-02-25
Self-aligned contact air gap formation
Grant 10,923,565 - Lee , et al. February 16, 2
2021-02-16
Methods of Reducing Parasitic Capacitance in Multi-Gate Field-Effect Transistors
App 20210036122 - Wong; I-Hsieh ;   et al.
2021-02-04
Dielectric Inner Spacers in Multi-Gate Field-Effect Transistors
App 20200411667 - Wong; I-Hsieh ;   et al.
2020-12-31
Hybrid SRAM Design with Nano-Structures
App 20200411530 - Wong; I-Hsieh ;   et al.
2020-12-31
Method for forming semiconductor device structure with cap layer
Grant 10,879,395 - Chu , et al. December 29, 2
2020-12-29
Semiconductor component and fabricating method thereof
Grant 10,872,889 - Chen , et al. December 22, 2
2020-12-22
Semiconductor device and method of manufacture
Grant 10,868,130 - Chen , et al. December 15, 2
2020-12-15
Conformal transfer doping method for fin-like field effect transistor
Grant 10,868,151 - Yeong , et al. December 15, 2
2020-12-15
Integrated Circuit Device with Source/Drain Barrier
App 20200388677 - Chu; Feng-Ching ;   et al.
2020-12-10
Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
Grant 10,861,749 - Chen , et al. December 8, 2
2020-12-08
Air gap formation between gate spacer and epitaxy structure
Grant 10,861,753 - Lai , et al. December 8, 2
2020-12-08
Source/Drain Features with an Etch Stop Layer
App 20200381310 - Chu; Feng-Ching ;   et al.
2020-12-03
Integrated circuit with doped low-k sidewall spacers for gate stacks
Grant 10,854,726 - Chen , et al. December 1, 2
2020-12-01
PMOS FinFET
App 20200343383 - Lee; Wei-Yang ;   et al.
2020-10-29
Epitaxial Structures for Fin-Like Field Effect Transistors
App 20200303522 - Yu; Chia-Ta ;   et al.
2020-09-24
Method of forming integrated circuit with low-k sidewall spacers for gate stacks
Grant 10,770,354 - Chen , et al. Sep
2020-09-08
Integrated circuit device with source/drain barrier
Grant 10,756,171 - Chu , et al. A
2020-08-25
Source/drain features with an etch stop layer
Grant 10,748,820 - Chu , et al. A
2020-08-18
Semiconductor Structure and Method for Semiconductor Device Fabrication with Improved Source Drain Epitaxy
App 20200251594 - Kind Code
2020-08-06
PMOS FinFET
Grant 10,714,619 - Lee , et al.
2020-07-14
Epitaxial structures for fin-like field effect transistors
Grant 10,680,084 - Yu , et al.
2020-06-09
Semiconductor Device and Method
App 20200176591 - Wong; I-Hsieh ;   et al.
2020-06-04
Semiconductor Device with Self-Aligned Wavy Contact Profile and Method of Forming the Same
App 20200168735 - Yu; Chia-Ta ;   et al.
2020-05-28
Method for Epitaxial Growth and Device
App 20200168723 - Hsu; Tzu-Hsiang ;   et al.
2020-05-28
Transistors with Recessed Silicon Cap and Method Forming Same
App 20200161297 - Chen; Yen-Ting ;   et al.
2020-05-21
Forming Transistor by Selectively Growing Gate Spacer
App 20200152522 - Lee; Kai-Hsuan ;   et al.
2020-05-14
Semiconductor Device With Epitaxial Source/drain
App 20200144364 - YU; Chia-Ta ;   et al.
2020-05-07
Method For Forming Semiconductor Device Structure With Cap Layer
App 20200144423 - CHU; Feng-Ching ;   et al.
2020-05-07
Air Gap Formation Between Gate Spacer And Epitaxy Structure
App 20200135590 - LAI; Bo-Yu ;   et al.
2020-04-30
Semiconductor Device And Method
App 20200135574 - Yang; Cheng-Yu ;   et al.
2020-04-30
Semiconductor Device and Method of Manufacture
App 20200135880 - Chen; Yen-Ting ;   et al.
2020-04-30
Merged Source/Drain Features
App 20200135914 - Lin; Chun-An ;   et al.
2020-04-30
Source/Drain Features with an Etch Stop Layer
App 20200126869 - Chu; Feng-Ching ;   et al.
2020-04-23
Semiconductor Device with Air-Spacer
App 20200127110 - Lee; Wei-Yang ;   et al.
2020-04-23
Semiconductor structure and method for semiconductor device fabrication with improved source drain epitaxy
Grant 10,629,736 - Lee , et al.
2020-04-21
Self-Aligned Contact Air Gap Formation
App 20200105867 - Lee; Kai-Hsuan ;   et al.
2020-04-02
Methods of Forming Epitaxial Structures in Fin-Like Field Effect Transistors
App 20200098644 - Chu; Feng-Ching ;   et al.
2020-03-26
Air Spacer For A Gate Structure Of A Transistor
App 20200098886 - Liu; Yi-Hsiu ;   et al.
2020-03-26
Methods of Reducing Parasitic Capacitance in Semiconductor Devices
App 20200075417 - Lee; Kai-Hsuan ;   et al.
2020-03-05
Integrated Circuit with Sidewall Spacers for Gate Stacks
App 20200075420 - Chen; Yen-Ting ;   et al.
2020-03-05
Flexible Merge Scheme for Source/Drain Epitaxy Regions
App 20200066734 - Lee; Kai-Hsuan ;   et al.
2020-02-27
Using a Metal-Containing Layer as an Etching Stop Layer and to Pattern Source/Drain Regions of a FinFET
App 20200043804 - Chen; Yen-Ting ;   et al.
2020-02-06
Semiconductor Device And Manufacturing Method Thereof
App 20200027793 - LEE; Wei-Yang ;   et al.
2020-01-23
Package Method Of Radioactive Dismantled Parts
App 20200027003 - WU; HUANG-JAU ;   et al.
2020-01-23
Forming transistor by selectively growing gate spacer
Grant 10,535,569 - Lee , et al. Ja
2020-01-14
Semiconductor device with epitaxial source/drain
Grant 10,529,803 - Yu , et al. J
2020-01-07
Flexible merge scheme for source/drain epitaxy regions
Grant 10,529,725 - Lee , et al. J
2020-01-07
Methods of Forming Metal Gate Spacer
App 20200006158 - Chen; Yen-Ting ;   et al.
2020-01-02
Semiconductor device with air-spacer
Grant 10,522,642 - Lee , et al. Dec
2019-12-31
Source/drain features with an etch stop layer
Grant 10,522,420 - Chu , et al. Dec
2019-12-31
Finfet semiconductor device structure with capped source drain structures
Grant 10,522,680 - Chu , et al. Dec
2019-12-31
Methods of forming epitaxial structures in fin-like field effect transistors
Grant 10,497,628 - Chu , et al. De
2019-12-03
Flexible merge scheme for source/drain epitaxy regions
Grant 10,483,266 - Lee , et al. Nov
2019-11-19
Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
Grant 10,453,753 - Chen , et al. Oc
2019-10-22
Source/Drain Features with an Etch Stop Layer
App 20190311957 - Chu; Feng-Ching ;   et al.
2019-10-10
Source/drain features with an etch stop layer
Grant 10,403,551 - Chu , et al. Sep
2019-09-03
Conformal Transfer Doping Method For Fin-Like Field Effect Transistor
App 20190252527 - Yeong; Sai-Hooi ;   et al.
2019-08-15
Methods of Forming Epitaxial Structures in Fin-Like Field Effect Transistors
App 20190157162 - Chu; Feng-Ching ;   et al.
2019-05-23
Integrated Circuit with Sidewall Spacers for Gate Stacks
App 20190148501 - Chen; Yen-Ting ;   et al.
2019-05-16
Semiconductor Structure And Method For Forming The Same
App 20190148519 - LEE; Kai-Hsuan ;   et al.
2019-05-16
Epitaxial Structures for Fin-Like Field Effect Transistors
App 20190148528 - Yu; Chia-Ta ;   et al.
2019-05-16
Source/Drain Features with an Etch Stop Layer
App 20190139836 - Chu; Feng-Ching ;   et al.
2019-05-09
Semiconductor structure and method for forming the same
Grant 10,283,624 - Lee , et al.
2019-05-07
Integrated Circuit Device with Source/Drain Barrier
App 20190131392 - Chu; Feng-Ching ;   et al.
2019-05-02
Conformal transfer doping method for fin-like field effect transistor
Grant 10,276,691 - Yeong , et al.
2019-04-30
Method for Semiconductor Device Fabrication with Improved Source Drain Epitaxy
App 20190123200 - Lee; Wei-Yang ;   et al.
2019-04-25
Semiconductor device including Fin-PET and manufacturing method thereof
Grant 10,269,935 - Huang , et al.
2019-04-23
Flexible Merge Scheme for Source/Drain Epitaxy Regions
App 20190109141 - Lee; Kai-Hsuan ;   et al.
2019-04-11
Semiconductor Device And Method For Manufacturing The Same
App 20190096880 - LIN; Chung-Te ;   et al.
2019-03-28
Wrapped-Around Epitaxial Structure and Method
App 20190074225 - Yang; Cheng-Yu ;   et al.
2019-03-07
Finfet Semiconductor Device Structure With Capped Source Drain Structures
App 20190067478 - CHU; Feng-Ching ;   et al.
2019-02-28
Using A Metal-containing Layer As An Etching Stop Layer And To Pattern Source/drain Regions Of A Finfet
App 20190067126 - Chen; Yen-Ting ;   et al.
2019-02-28
Integrated circuit device with source/drain barrier
Grant 10,217,815 - Chu , et al. Feb
2019-02-26
Semiconductor structure and method for semiconductor device fabrication with improved source drain epitaxy
Grant 10,158,017 - Lee , et al. Dec
2018-12-18
Semiconductor device and manufacturing method thereof
Grant 10,158,007 - Lee , et al. Dec
2018-12-18
FinFET device with wrapped-around epitaxial structure and manufacturing method thereof
Grant 10,141,231 - Yang , et al. Nov
2018-11-27
PMOS Finfet
App 20180337282 - Lee; Wei-Yang ;   et al.
2018-11-22
Forming Transistor by Selectively Growing Gate Spacer
App 20180337100 - Lee; Kai-Hsuan ;   et al.
2018-11-22
PMOS FinFET
Grant 10,134,902 - Lee , et al. November 20, 2
2018-11-20
Flexible Merge Scheme for Source/Drain Epitaxy Regions
App 20180308852 - Lee; Kai-Hsuan ;   et al.
2018-10-25
FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
Grant 10,103,146 - Yu , et al. October 16, 2
2018-10-16
Semiconductor device including fin FET and manufacturing method thereof
Grant 10,068,992 - Lo , et al. September 4, 2
2018-09-04
Forming transistor by selectively growing gate spacer
Grant 10,037,923 - Lee , et al. July 31, 2
2018-07-31
Conformal Transfer Doping Method For Fin-Like Field Effect Transistor
App 20180175175 - Yeong; Sai-Hooi ;   et al.
2018-06-21
PMOS FinFET
App 20180175200 - Lee; Wei-Yang ;   et al.
2018-06-21
Semiconductor Device with Air-Spacer
App 20180166553 - Lee; Wei-Yang ;   et al.
2018-06-14
Finfet Device With Epitaxial Structures That Wrap Around The Fins And The Method Of Fabricating The Same
App 20180166442 - Yu; Chia-Ta ;   et al.
2018-06-14
Elongated Source/drain Region Structure In Finfet Device
App 20180151731 - Lee; Wei-Yang ;   et al.
2018-05-31
Semiconductor Component And Fabricating Method Thereof
App 20180138172 - CHEN; Ting-Yeh ;   et al.
2018-05-17
Semiconductor Device And Manufacturing Method Thereof
App 20180102292 - LEE; Wei-Yang ;   et al.
2018-04-12
Camera device without image displaying function
Grant 9,942,463 - Kuo , et al. April 10, 2
2018-04-10
Semiconductor Device With Epitaxial Source/drain
App 20180083109 - YU; Chia-Ta ;   et al.
2018-03-22
Semiconductor Device And Manufacturing Method Thereof
App 20180047833 - LEE; Wei-Yang ;   et al.
2018-02-15
Semiconductor device including Fin-FET and manufacturing method thereof
Grant 9,882,029 - Huang , et al. January 30, 2
2018-01-30
Semiconductor device and manufacturing method thereof
Grant 9,865,504 - Lee , et al. January 9, 2
2018-01-09
FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
Grant 9,865,595 - Yu , et al. January 9, 2
2018-01-09
Method for Semiconductor Device Fabrication with Improved Source Drain Epitaxy
App 20170373189 - Lee; Wei-Yang ;   et al.
2017-12-28
Semiconductor Device Including Fin-fet And Manufacturing Method Thereof
App 20170365707 - HUANG; Gin-Chen ;   et al.
2017-12-21
Semiconductor device and manufacturing method thereof
Grant 9,812,576 - Lee , et al. November 7, 2
2017-11-07
Semiconductor device including Fin- FET and manufacturing method thereof
Grant 9,780,214 - Huang , et al. October 3, 2
2017-10-03
Semiconductor Device And Manufacturing Method Thereof
App 20170256456 - LEE; Wei-Yang ;   et al.
2017-09-07
Semiconductor Device And Manufacturing Method Thereof
App 20170256639 - LEE; Wei-Yang ;   et al.
2017-09-07
Method for semiconductor device fabrication with improved source drain epitaxy
Grant 9,748,389 - Lee , et al. August 29, 2
2017-08-29
Semiconductor Device Including Fin Fet And Manufacturing Method Thereof
App 20170243957 - LO; Hung ;   et al.
2017-08-24
Keyswitch And Keyboard With Distance Detecting Function
App 20170244409 - Wang; Tsung-Fa ;   et al.
2017-08-24
Keyswitch and keyboard with distance detecting function
Grant 9,742,402 - Wang , et al. August 22, 2
2017-08-22
Semiconductor device including Fin FET and manufacturing method thereof
Grant 9,680,017 - Lo , et al. June 13, 2
2017-06-13
Semiconductor Device Including Fin Fet And Manufacturing Method Thereof
App 20170077286 - LO; Hung ;   et al.
2017-03-16
Semiconductor device and manufacturing method thereof
Grant 9,570,556 - Lee , et al. February 14, 2
2017-02-14
Semiconductor Device Including Fin-fet And Manufacturing Method Thereof
App 20160322477 - HUANG; Gin-Chen ;   et al.
2016-11-03
Semiconductor Device Including Fin- Fet And Manufacturing Method Thereof
App 20160181414 - HUANG; Gin-Chen ;   et al.
2016-06-23
Input device with optical module for determining a relative position of an object thereon
Grant 9,285,926 - Yang , et al. March 15, 2
2016-03-15
Camera Device
App 20150189162 - Kuo; Shih-Wei ;   et al.
2015-07-02
Optical touch control apparatus and adjustable light guide apparatus
Grant 8,937,595 - Chen , et al. January 20, 2
2015-01-20
Windshield wiper controller, optical raindrop detector and detection method thereof
Grant 8,914,197 - Chen , et al. December 16, 2
2014-12-16
Semiconductor device having gradient doping profile
Grant 8,823,099 - Huang , et al. September 2, 2
2014-09-02
Hybrid human-interface device
Grant 8,760,403 - Lai , et al. June 24, 2
2014-06-24
Optical Touch Control Apparatus And Adjustable Light Guide Apparatus
App 20140049470 - Chen; Hsin-Chia ;   et al.
2014-02-20
Semiconductor Device Having Gradient Doping Profile
App 20130313642 - Huang; Chih-Hsiang ;   et al.
2013-11-28
Hybrid Human-interface Device
App 20130285907 - LAI; Hung Ching ;   et al.
2013-10-31
Windshield Wiper Controller, Optical Raindrop Detector And Detection Method Thereof
App 20130275007 - CHEN; Hsin-Chia ;   et al.
2013-10-17
Optical Touch Device, Passive Touch Control System, And Input Detection Method
App 20130229387 - CHEN; Hsin-Chia ;   et al.
2013-09-05
Optical Touch Input By Gesture Detection From Varying Images
App 20130229349 - CHEN; Hsin-Chia ;   et al.
2013-09-05
Semiconductor device having gradient doping profile
Grant 8,501,569 - Huang , et al. August 6, 2
2013-08-06
Input Device
App 20130127713 - Yang; Feng-Cheng ;   et al.
2013-05-23
Hyrbid Human-interface Device
App 20130009913 - LIN; Cho-Yi Yi ;   et al.
2013-01-10
Semiconductor Device Having Gradient Doping Profile
App 20120313167 - Huang; Chih-Hsiang ;   et al.
2012-12-13
Navigation System and Application Thereof
App 20090125232 - Chiu; Chi-Hsien ;   et al.
2009-05-14
Active region corner implantation method for fabricating a semiconductor integrated circuit microelectronic fabrication
Grant 6,797,587 - Yang , et al. September 28, 2
2004-09-28

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed