loadpatents
name:-0.62576389312744
name:-0.23856592178345
name:-0.057910919189453
XU; Yongan Patent Filings

XU; Yongan

Patent Applications and Registrations

Patent applications and USPTO patent grants for XU; Yongan.The latest application filed is for "method to fabricate large scale flat optics lenses".

Company Profile
55.63.78
  • XU; Yongan - Santa Clara CA
  • Xu; Yongan - Albany NY
  • Xu; Yongan - San Jose CA
  • Xu; Yongan - Niskayuna NY
  • Xu; Yongan - Syracuse NY
  • Xu; Yongan - Schenectady NY
  • Xu; Yongan - Philadelphia PA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Method To Fabricate Large Scale Flat Optics Lenses
App 20220308460 - XU; Yongan ;   et al.
2022-09-29
Selective Gas Etching For Self-aligned Pattern Transfer
App 20220262636 - Arnold; John Christopher ;   et al.
2022-08-18
Method of forming a BEOL interconnect structure using a subtractive metal via first process
Grant 11,398,409 - Mignot , et al. July 26, 2
2022-07-26
Lithography Method To Form Structures With Slanted Angle
App 20220171283 - XU; Yongan ;   et al.
2022-06-02
Mask Orientation
App 20220128745 - XU; Yongan ;   et al.
2022-04-28
Selective gas etching for self-aligned pattern transfer
Grant 11,302,533 - Arnold , et al. April 12, 2
2022-04-12
Method Of Forming A Beol Interconnect Structure Using A Subtractive Metal Via First Process
App 20220093459 - Mignot; Yann ;   et al.
2022-03-24
System, software application, and method for lithography stitching
Grant 11,237,485 - Xu , et al. February 1, 2
2022-02-01
Mask orientation
Grant 11,226,440 - Xu , et al. January 18, 2
2022-01-18
Metal Via Structure
App 20220005762 - Mignot; Yann ;   et al.
2022-01-06
Methods And Apparatus Of Processing Transparent Substrates
App 20210395139 - XU; Yongan ;   et al.
2021-12-23
Multiple patterning scheme integration with planarized cut patterning
Grant 11,171,001 - Chen , et al. November 9, 2
2021-11-09
Two-color Self-aligned Double Patterning (sadp) To Yield Static Random Access Memory (sram) And Dense Logic
App 20210343536 - Lie; Fee Li ;   et al.
2021-11-04
Chemically Modified Curcumins For Use In The Production Of Lipoxins
App 20210322346 - Gu; Ying ;   et al.
2021-10-21
Metal via structure
Grant 11,152,298 - Mignot , et al. October 19, 2
2021-10-19
Extreme ultraviolet (EUV) mask stack processing
Grant 11,131,919 - Xu , et al. September 28, 2
2021-09-28
Methods and apparatus of processing transparent substrates
Grant 11,111,176 - Xu , et al. September 7, 2
2021-09-07
Methods And Apparatus Of Processing Transparent Substrates
App 20210269355 - XU; Yongan ;   et al.
2021-09-02
Maskless Lithography Method To Fabricate Topographic Substrate
App 20210263410 - XU; Yongan ;   et al.
2021-08-26
System, Software Application, And Method For Lithography Stitching
App 20210223704 - XU; Yongan ;   et al.
2021-07-22
Double metal patterning
Grant 11,069,564 - Chen , et al. July 20, 2
2021-07-20
Two-color self-aligned double patterning (SADP) to yield static random access memory (SRAM) and dense logic
Grant 11,062,911 - Lie , et al. July 13, 2
2021-07-13
Mask Orientation
App 20210208317 - XU; Yongan ;   et al.
2021-07-08
Bake Devices For Handling And Uniform Baking Of Substrates
App 20210195695 - CERVERA; Hiram ;   et al.
2021-06-24
Selective Gas Etching For Self-aligned Pattern Transfer
App 20210183653 - Arnold; John Christopher ;   et al.
2021-06-17
Svia using a single damascene interconnect
Grant 11,037,822 - Mignot , et al. June 15, 2
2021-06-15
EUV pattern transfer with ion implantation and reduced impact of resist residue
Grant 11,031,246 - Mignot , et al. June 8, 2
2021-06-08
Tunable adhesion of EUV photoresist on oxide surface
Grant 11,022,887 - Xu , et al. June 1, 2
2021-06-01
Cut Integration For Subtractive First Metal Line With Bottom Up Second Metal Line
App 20210111066 - Ghosh; Somnath ;   et al.
2021-04-15
Hard mask films with graded vertical concentration formed using reactive sputtering in a radio frequency deposition chamber
Grant 10,975,464 - De Silva , et al. April 13, 2
2021-04-13
Extreme ultraviolet lithography patterning with directional deposition
Grant 10,957,552 - Xu , et al. March 23, 2
2021-03-23
Multiple patterning scheme integration with planarized cut patterning
Grant 10,937,653 - Chen , et al. March 2, 2
2021-03-02
Selective gas etching for self-aligned pattern transfer
Grant 10,930,504 - Arnold , et al. February 23, 2
2021-02-23
Measurement system and grating pattern array
Grant 10,921,721 - Fu , et al. February 16, 2
2021-02-16
Via design optimization to improve via resistance
Grant 10,915,690 - Shao , et al. February 9, 2
2021-02-09
Developer critical dimension control with pulse development
Grant 10,915,085 - Johnson , et al. February 9, 2
2021-02-09
Controlling via critical dimension with a titanium nitride hard mask
Grant 10,886,197 - Mignot , et al. January 5, 2
2021-01-05
Extreme ultraviolet lithography for high volume manufacture of a semiconductor device
Grant 10,879,068 - Xu , et al. December 29, 2
2020-12-29
Metal Via Structure
App 20200388567 - Mignot; Yann ;   et al.
2020-12-10
Imaging System And Method Of Creating Composite Images
App 20200388642 - FU; Jinxin ;   et al.
2020-12-10
Reducing Line Edge Roughness And Mitigating Defects By Wafer Freezing
App 20200357666 - Petrillo; Karen E. ;   et al.
2020-11-12
Svia Using A Single Damascene Interconnect
App 20200357692 - Mignot; Yann ;   et al.
2020-11-12
Single trench damascene interconnect using TiN HMO
Grant 10,825,720 - Mignot , et al. November 3, 2
2020-11-03
Method and structure for cost effective enhanced self-aligned contacts
Grant 10,818,548 - Lai , et al. October 27, 2
2020-10-27
Mehtod Of Thin Film Deposition In Trenches
App 20200332414 - GUO; Jinrui ;   et al.
2020-10-22
Double Metal Patterning
App 20200328111 - CHEN; HSUEH-CHUNG ;   et al.
2020-10-15
Via Design Optimization To Improve Via Resistance
App 20200327208 - Shao; Dongbing ;   et al.
2020-10-15
Photolithography methods and structures that reduce stochastic defects
Grant 10,782,606 - Liang , et al. Sept
2020-09-22
Electronic devices having spiral conductive structures
Grant 10,784,333 - Xu , et al. Sept
2020-09-22
Two-color Self-aligned Double Patterning (sadp) To Yield Static Random Access Memory (sram) And Dense Logic
App 20200266072 - Lie; Fee Li ;   et al.
2020-08-20
Area selective cyclic deposition for VFET top spacer
Grant 10,749,011 - Bi , et al. A
2020-08-18
Euv Pattern Transfer With Ion Implantation And Reduced Impact Of Resist Residue
App 20200251338 - Kind Code
2020-08-06
Direct Extreme Ultraviolet Lithography On Hard Mask With Reverse Tone
App 20200234957 - MIGNOT; Yann ;   et al.
2020-07-23
Controlling Via Critical Dimension With A Titanium Nitride Hard Mask
App 20200194343 - Mignot; Yann ;   et al.
2020-06-18
Self-aligned Litho-etch Double Patterning
App 20200185269 - Chen; Hsueh-Chung ;   et al.
2020-06-11
Method of forming a straight via profile with precise critical dimension control
Grant 10,672,705 - Xu , et al.
2020-06-02
EUV pattern transfer with ion implantation and reduced impact of resist residue
Grant 10,658,180 - Mignot , et al.
2020-05-19
Extreme ultraviolet lithography patterning with directional deposition
Grant 10,658,190 - Xu , et al.
2020-05-19
Euv Pattern Transfer With Ion Implantation And Reduced Impact Of Resist Residue
App 20200144061 - Mignot; Yann ;   et al.
2020-05-07
Area Selective Cyclic Deposition For Vfet Top Spacer
App 20200135893 - BI; ZHENXING ;   et al.
2020-04-30
Spacer image transfer with double mandrel
Grant 10,629,436 - Mignot , et al.
2020-04-21
Method of forming a straight via profile with precise critical dimension control
Grant 10,622,301 - Xu , et al.
2020-04-14
Controlling via critical dimension during fabrication of a semiconductor wafer
Grant 10,607,922 - Mignot , et al.
2020-03-31
Extreme Ultraviolet Lithography Patterning With Directional Deposition
App 20200098581 - Xu; Yongan ;   et al.
2020-03-26
Extreme Ultraviolet Lithography Patterning With Directional Deposition
App 20200098578 - Xu; Yongan ;   et al.
2020-03-26
Developer Critical Dimension Control With Pulse Development
App 20200089188 - Johnson; Richard C. ;   et al.
2020-03-19
Selective Gas Etching For Self-aligned Pattern Transfer
App 20200083045 - ARNOLD; John Christopher ;   et al.
2020-03-12
Tunable Adhesion Of Euv Photoresist On Oxide Surface
App 20200073246 - Xu; Yongan ;   et al.
2020-03-05
Multiple Patterning Scheme Integration With Planarized Cut Patterning
App 20200066525 - Chen; Hsueh-Chung ;   et al.
2020-02-27
Multiple Patterning Scheme Integration With Planarized Cut Patterning
App 20200066526 - Chen; Hsueh-Chung ;   et al.
2020-02-27
Method Of Forming A Straight Via Profile With Precise Critical Dimension Control
App 20200066632 - Xu; Yongan ;   et al.
2020-02-27
Single Trench Damascene Interconnect Using TiN HMO
App 20200066575 - Mignot; Yann ;   et al.
2020-02-27
Two-color self-aligned double patterning (SADP) to yield static random access memory (SRAM) and dense logic
Grant 10,573,528 - Lie , et al. Feb
2020-02-25
Multiple patterning scheme integration with planarized cut patterning
Grant 10,573,520 - Chen , et al. Feb
2020-02-25
Method Of Forming A Straight Via Profile With Precise Critical Dimension Control
App 20200058585 - Xu; Yongan ;   et al.
2020-02-20
Selective gas etching for self-aligned pattern transfer
Grant 10,559,467 - Arnold , et al. Feb
2020-02-11
Tunable adhesion of EUV photoresist on oxide surface
Grant 10,551,742 - Xu , et al. Fe
2020-02-04
Photolithography Methods And Structures That Reduce Stochastic Defects
App 20200004155 - Liang; Yong ;   et al.
2020-01-02
Extreme Ultraviolet (euv) Mask Stack Processing
App 20190391481 - Xu; Yongan ;   et al.
2019-12-26
Multiple Patterning Scheme Integration With Planarized Cut Patterning
App 20190378718 - Chen; Hsueh-Chung ;   et al.
2019-12-12
Transferring Euv Resist Pattern To Eliminate Pattern Transfer Defectivity
App 20190348292 - Dutta; Ashim ;   et al.
2019-11-14
Extreme Ultraviolet Lithography For High Volume Manufacture Of A Semiconductor Device
App 20190348281 - Xu; Yongan ;   et al.
2019-11-14
Electronic Devices Having Spiral Conductive Structures
App 20190341444 - Xu; Peng ;   et al.
2019-11-07
Spacer Image Transfer With Double Mandrel
App 20190318928 - Mignot; Yann ;   et al.
2019-10-17
Hard Mask Films With Graded Vertical Concentration Formed Using Reactive Sputtering In A Radio Frequency Deposition Chamber
App 20190309410 - De Silva; Ekmini Anuja ;   et al.
2019-10-10
Electronic devices having spiral conductive structures
Grant 10,431,646 - Xu , et al. O
2019-10-01
Electronic Devices Having Spiral Conductive Structures
App 20190273127 - Xu; Peng ;   et al.
2019-09-05
Forming vertical transport field effect transistors with uniform bottom spacer thickness
Grant 10,396,179 - Cheng , et al. A
2019-08-27
Forming vertical transport field effect transistors with uniform bottom spacer thickness
Grant 10,361,285 - Cheng , et al.
2019-07-23
Hard masks for block patterning
Grant 10,354,885 - De Silva , et al. July 16, 2
2019-07-16
Via formation using directed self-assembly of a block copolymer
Grant 10,340,179 - Chi , et al.
2019-07-02
Two-color Self-aligned Double Patterning (sadp) To Yield Static Random Access Memory (sram) And Dense Logic
App 20190189457 - Lie; Fee Li ;   et al.
2019-06-20
Tunable Adhesion Of Euv Photoresist On Oxide Surface
App 20190187565 - Xu; Yongan ;   et al.
2019-06-20
Developer Critical Dimension Control With Pulse Development
App 20190121316 - Johnson; Richard C. ;   et al.
2019-04-25
Via Formation Using Directed Self-assembly Of A Block Copolymer
App 20190080958 - Chi; Cheng ;   et al.
2019-03-14
Work function metal fill for replacement gate fin field effect transistor process
Grant 10,164,060 - He , et al. Dec
2018-12-25
Via formation using sidewall image transfer process to define lateral dimension
Grant 10,157,789 - Chen , et al. Dec
2018-12-18
Work function metal fill for replacement gate fin field effect transistor process
Grant 10,147,803 - He , et al. De
2018-12-04
Forming Vertical Transport Field Effect Transistors With Uniform Bottom Spacer Thickness
App 20180315834 - Cheng; Kangguo ;   et al.
2018-11-01
Forming Vertical Transport Field Effect Transistors With Uniform Bottom Spacer Thickness
App 20180315835 - Cheng; Kangguo ;   et al.
2018-11-01
Selective Gas Etching For Self-aligned Pattern Transfer
App 20180286682 - ARNOLD; John Christopher ;   et al.
2018-10-04
Hard masks for block patterning
Grant 10,090,164 - De Silva , et al. October 2, 2
2018-10-02
Avoiding gate metal via shorting to source or drain contacts
Grant 10,043,744 - Chan , et al. August 7, 2
2018-08-07
Image transfer using EUV lithographic structure and double patterning process
Grant 10,032,633 - Chen , et al. July 24, 2
2018-07-24
Selective gas etching for self-aligned pattern transfer
Grant 10,032,632 - Arnold , et al. July 24, 2
2018-07-24
Image Transfer Using Euv Lithographic Structure And Double Patterning Process
App 20180204723 - CHEN; HSUEH-CHUNG ;   et al.
2018-07-19
Image Transfer Using Euv Lithographic Structure And Double Patterning Process
App 20180204724 - CHEN; HSUEH-CHUNG ;   et al.
2018-07-19
Hard Masks For Block Patterning
App 20180197745 - De Silva; Ekmini A. ;   et al.
2018-07-12
Hard Masks For Block Patterning
App 20180197744 - De Silva; Ekmini A. ;   et al.
2018-07-12
Integration of super via structure in BEOL
Grant 10,020,255 - Bao , et al. July 10, 2
2018-07-10
Integration of super via structure in BEOL
Grant 10,020,254 - Bao , et al. July 10, 2
2018-07-10
Forming vertical transport field effect transistors with uniform bottom spacer thickness
Grant 9,991,365 - Cheng , et al. June 5, 2
2018-06-05
Inverted damascene interconnect structures
Grant 9,984,919 - Zhang , et al. May 29, 2
2018-05-29
Selective Gas Etching For Self-aligned Pattern Transfer
App 20180096846 - ARNOLD; John Christopher ;   et al.
2018-04-05
Avoiding Gate Metal Via Shorting To Source Or Drain Contacts
App 20180061754 - Chan; Victor W.C. ;   et al.
2018-03-01
Chemically Modified Curcumins For Use In The Production Of Lipoxins
App 20180036262 - Gu; Ying ;   et al.
2018-02-08
Avoiding Gate Metal Via Shorting To Source Or Drain Contacts
App 20170352621 - Chan; Victor W. C. ;   et al.
2017-12-07
Avoiding gate metal via shorting to source or drain contacts
Grant 9,837,351 - Chan , et al. December 5, 2
2017-12-05
Via Formation Using Sidewall Image Tranfer Process To Define Lateral Dimension
App 20160358820 - Chen; Shyng-Tsong ;   et al.
2016-12-08
Via Formation Using Sidewall Image Transfer Process To Define Lateral Dimension
App 20160336225 - Chen; Shyng-Tsong ;   et al.
2016-11-17
Work Function Metal Fill For Replacement Gate Fin Field Effect Transistor Process
App 20160329415 - He; Hong ;   et al.
2016-11-10
Via formation using sidewall image transfer process to define lateral dimension
Grant 9,490,168 - Chen , et al. November 8, 2
2016-11-08
Work Function Metal Fill For Replacement Gate Fin Field Effect Transistor Process
App 20160300721 - He; Hong ;   et al.
2016-10-13
Work function metal fill for replacement gate fin field effect transistor process
Grant 9,406,746 - He , et al. August 2, 2
2016-08-02
Double self aligned via patterning
Grant 9,330,965 - Chen , et al. May 3, 2
2016-05-03
Double self-aligned via patterning
Grant 9,257,334 - Chen , et al. February 9, 2
2016-02-09
Double Self Aligned Via Patterning
App 20150371896 - Chen; Hsueh-Chung ;   et al.
2015-12-24
Double self aligned via patterning
Grant 9,219,007 - Chen , et al. December 22, 2
2015-12-22
Double Self-aligned Via Patterning
App 20150364372 - Chen; Hsueh-Chung ;   et al.
2015-12-17
Work Function Metal Fill For Replacement Gate Fin Field Effect Transistor Process
App 20150236159 - He; Hong ;   et al.
2015-08-20
Trench patterning with block first sidewall image transfer
Grant 9,064,813 - Kanakasabapathy , et al. June 23, 2
2015-06-23
Trench Patterning With Block First Sidewall Image Transfer
App 20150031201 - Kanakasabapathy; Sivananda K. ;   et al.
2015-01-29
Method to print contact holes at high resolution
Grant 8,927,198 - Burkhardt , et al. January 6, 2
2015-01-06
Double Self Aligned Via Patterning
App 20140363969 - Chen; Hsueh-Chung ;   et al.
2014-12-11
Trench Patterning With Block First Sidewall Image Transfer
App 20140315380 - Kanakasabapathy; Sivananda K. ;   et al.
2014-10-23
Method To Print Contact Holes At High Resolution
App 20140199615 - Burkhardt; Martin ;   et al.
2014-07-17
Method of low temperature imprinting process with high pattern transfer yield
Grant 8,298,467 - Xu , et al. October 30, 2
2012-10-30
Method Of Low Temperature Imprinting Process With High Pattern Transfer Yield
App 20110140305 - Xu; Yongan ;   et al.
2011-06-16
Method of low temperature imprinting process with high pattern transfer yield
Grant 7,901,607 - Xu , et al. March 8, 2
2011-03-08
Method of low temperature imprinting process with high pattern transfer yield
App 20060183395 - Xu; Yongan ;   et al.
2006-08-17

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed