loadpatents
name:-0.077130794525146
name:-0.058857917785645
name:-0.043066024780273
Wu; Chia-Tien Patent Filings

Wu; Chia-Tien

Patent Applications and Registrations

Patent applications and USPTO patent grants for Wu; Chia-Tien.The latest application filed is for "hybrid metal line structure".

Company Profile
40.51.72
  • Wu; Chia-Tien - Taichung City TW
  • WU; Chia-Tien - Hsinchu TW
  • Wu; Chia-Tien - Taichung TW
  • Wu; Chia-Tien - Situn District Taichung City TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Hybrid Metal Line Structure
App 20220310508 - Ho; Pokuan ;   et al.
2022-09-29
Method For Manufacturing Interconnection Structure
App 20220293465 - HO; Po-Kuan ;   et al.
2022-09-15
Deep Lines And Shallow Lines In Signal Conducting Paths
App 20220262719 - LAI; Wei-An ;   et al.
2022-08-18
Method of fabricating semiconductor device with reduced trench distortions
Grant 11,387,113 - Yen , et al. July 12, 2
2022-07-12
Semiconductor Device With Spacers For Self Aligned Vias
App 20220181207 - HO; Pokuan ;   et al.
2022-06-09
Method Of Manufacturing A Semiconductor Device
App 20220148876 - PENG; SHIH-WEI ;   et al.
2022-05-12
Integrated Circuit
App 20220102278 - PENG; Shih-Wei ;   et al.
2022-03-31
Semiconductor device with spacers for self aligned vias
Grant 11,264,277 - Ho , et al. March 1, 2
2022-03-01
Method of manufacturing a semiconductor device, and associated semiconductor device and system
Grant 11,257,670 - Peng , et al. February 22, 2
2022-02-22
Dual spacer metal patterning
Grant 11,257,673 - Liao , et al. February 22, 2
2022-02-22
Semiconductor Devices With Backside Power Distribution Network And Frontside Through Silicon Via
App 20220045011 - Sio; Kam-Tou ;   et al.
2022-02-10
Interconnect Structure And Manufacturing Method For The Same
App 20210391211 - LIU; HSIANG-WEI ;   et al.
2021-12-16
Semiconductor devices with backside power distribution network and frontside through silicon via
Grant 11,158,580 - Sio , et al. October 26, 2
2021-10-26
Local Interconnect Structure
App 20210280607 - CHEN; Chih-Liang ;   et al.
2021-09-09
Interconnect structure and manufacturing method for the same
Grant 11,107,725 - Liu , et al. August 31, 2
2021-08-31
Structure and Method for Interconnection with Self-Alignment
App 20210265208 - Yang; Tai-I ;   et al.
2021-08-26
Method Of Manufacturing A Semiconductor Device, And Associated Semiconductor Device And System
App 20210249262 - PENG; SHIH-WEI ;   et al.
2021-08-12
Semiconductor Device And Method Of Manufacture
App 20210242076 - YANG; Tai-I ;   et al.
2021-08-05
Low-Resistance Interconnect
App 20210175119 - Huang; Hsin-Yen ;   et al.
2021-06-10
Local interconnect structure
Grant 11,018,157 - Chen , et al. May 25, 2
2021-05-25
Structure and method for interconnection with self-alignment
Grant 11,004,740 - Yang , et al. May 11, 2
2021-05-11
Semiconductor Device With Spacers For Self Aligned Vias
App 20210134672 - Ho; Pokuan ;   et al.
2021-05-06
Semiconductor device and method of manufacture
Grant 10,991,618 - Yang , et al. April 27, 2
2021-04-27
Semiconductor Devices With Backside Power Distribution Network And Frontside Through Silicon Via
App 20210118805 - SIO; Kam-Tou ;   et al.
2021-04-22
Semiconductor Device, And Associated Method And System
App 20210098339 - PENG; SHIH-WEI ;   et al.
2021-04-01
Metal routing with flexible space formed using self-aligned spacer patterning
Grant 10,957,580 - Liu , et al. March 23, 2
2021-03-23
Semiconductor Device And Method Of Manufacture
App 20210066120 - Yang; Tai-I ;   et al.
2021-03-04
Advanced Metal Connection With Metal Cut
App 20210066182 - Chen; Chih-Liang ;   et al.
2021-03-04
Barrier-Less Structures
App 20210057273 - Chen; Hsin-Ping ;   et al.
2021-02-25
Method Of Fabricating Semiconductor Device With Reduced Trench Distortions
App 20210057231 - Yen; Yung-Sung ;   et al.
2021-02-25
Methods for fabricating a low-resistance interconnect
Grant 10,930,551 - Huang , et al. February 23, 2
2021-02-23
Method For Manufacturing Interconnection Structure
App 20210013096 - HO; Po-Kuan ;   et al.
2021-01-14
Interconnect Structure And Manufacturing Method For The Same
App 20210005510 - LIU; HSIANG-WEI ;   et al.
2021-01-07
Methods for Fabricating a Low-Resistance Interconnect
App 20200411374 - Huang; Hsin-Yen ;   et al.
2020-12-31
Self aligned via and method for fabricating the same
Grant 10,879,120 - Chen , et al. December 29, 2
2020-12-29
Zero Mask High Density Capacitor
App 20200373290 - Chen; Chung-Hui ;   et al.
2020-11-26
Formation method of damascene structure
Grant 10,847,418 - Peng , et al. November 24, 2
2020-11-24
Advanced metal connection with metal cut
Grant 10,847,460 - Chen , et al. November 24, 2
2020-11-24
Metal Routing with Flexible Space Formed Using Self-Aligned Spacer Patterning
App 20200365449 - Liu; Hsiang-Wei ;   et al.
2020-11-19
Method of fabricating semiconductor device with reduced trench distortions
Grant 10,818,509 - Yen , et al. October 27, 2
2020-10-27
Multi-metal fill with self-align patterning
Grant 10,784,155 - Chu , et al. Sept
2020-09-22
Interconnect structure and manufacturing method for the same
Grant 10,784,151 - Liu , et al. Sept
2020-09-22
Interconnection structure having top and bottom vias with a barrier layer therebetween and a dielectric spacer at the bottom via
Grant 10,777,452 - Ho , et al. Sept
2020-09-15
Metal routing with flexible space formed using self-aligned spacer patterning
Grant 10,734,275 - Liu , et al.
2020-08-04
Dual Spacer Metal Patterning
App 20200168458 - Liao; Yu-Chieh ;   et al.
2020-05-28
Metal Routing with Flexible Space Formed Using Self-Aligned Spacer Patterning
App 20200144104 - Liu; Hsiang-Wei ;   et al.
2020-05-07
Structure and Method for Interconnection with Self-Alignment
App 20200105598 - Yang; Tai-I ;   et al.
2020-04-02
Interconnect Structure And Manufacturing Method For The Same
App 20200083093 - LIU; HSIANG-WEI ;   et al.
2020-03-12
Multi-Metal Fill with Self-Align Patterning
App 20200051853 - Chu; Wei-Chen ;   et al.
2020-02-13
Semiconductor Structure And Manufacturing Method Thereof
App 20200035612 - HO; POKUAN ;   et al.
2020-01-30
Formation Method Of Damascene Structure
App 20200027788 - PENG; Tai-Yen ;   et al.
2020-01-23
Advanced Metal Connection With Metal Cut
App 20200020625 - Chen; Chih-Liang ;   et al.
2020-01-16
Interconnection structure of semiconductor device
Grant 10,535,560 - Chu , et al. Ja
2020-01-14
Metal routing with flexible space formed using self-aligned spacer patterning
Grant 10,529,617 - Liu , et al. J
2020-01-07
Split rail structures located in adjacent metal layers
Grant 10,522,469 - Wu , et al. Dec
2019-12-31
Multi-metal fill with self-align patterning
Grant 10,483,159 - Chu , et al. Nov
2019-11-19
Structure and formation method of damascene structure
Grant 10,475,703 - Peng , et al. Nov
2019-11-12
Advanced metal connection with metal cut
Grant 10,468,349 - Chen , et al. No
2019-11-05
Split Rail Structures Located In Adjacent Metal Layers
App 20190244902 - Wu; Chia-Tien ;   et al.
2019-08-08
Method for forming semiconductor device with damascene structure
Grant 10,276,396 - Liu , et al.
2019-04-30
Method of Fabricating Semiconductor Device with Reduced Trench Distortions
App 20190122895 - Yen; Yung-Sung ;   et al.
2019-04-25
Split rail structures located in adjacent metal layers
Grant 10,269,715 - Wu , et al.
2019-04-23
Metal Routing with Flexible Space Formed Using Self-Aligned Spacer Patterning
App 20190103305 - Liu; Hsiang-Wei ;   et al.
2019-04-04
Local Interconnect Structure
App 20190096909 - Chen; Chih-Liang ;   et al.
2019-03-28
Interconnection Structure and Manufacturing Method Thereof
App 20190080960 - HO; Po-Kuan ;   et al.
2019-03-14
Advanced Metal Connection With Metal Cut
App 20190051595 - Chen; Chih-Liang ;   et al.
2019-02-14
Method For Forming Semiconductor Device With Damascene Structure
App 20190043730 - LIU; Hsiang-Wei ;   et al.
2019-02-07
Structure And Formation Method Of Interconnection Structure Of Semiconductor Device
App 20190027406 - CHU; Wei-Chen ;   et al.
2019-01-24
Method of fabricating semiconductor device with reduced trench distortions
Grant 10,163,654 - Yen , et al. Dec
2018-12-25
Method of adjusting metal line pitch
Grant 10,162,930 - Lin , et al. Dec
2018-12-25
2-D interconnections for integrated circuits
Grant 10,163,690 - Wu , et al. Dec
2018-12-25
Split Rail Structures Located In Adjacent Metal Layers
App 20180308798 - Wu; Chia-Tien ;   et al.
2018-10-25
Multi-metal Fill With Self-align Patterning
App 20180308749 - YANG; Tai-I ;   et al.
2018-10-25
Advanced metal connection with metal cut
Grant 10,109,582 - Chen , et al. October 23, 2
2018-10-23
Structure and formation method of semiconductor device structure
Grant 10,103,102 - Chen , et al. October 16, 2
2018-10-16
Multi-metal fill with self-align patterning
Grant 10,026,647 - Chu , et al. July 17, 2
2018-07-17
Split rail structures located in adjacent metal layers
Grant 10,020,261 - Wu , et al. July 10, 2
2018-07-10
Multi-metal Fill With Self-align Patterning
App 20180166330 - CHU; Wei-Chen ;   et al.
2018-06-14
Method of forming an interconnect structure for a semiconductor device
Grant 9,997,404 - Wu , et al. June 12, 2
2018-06-12
Self Aligned Via and Method for Fabricating the Same
App 20180151432 - Chen; Chih-Liang ;   et al.
2018-05-31
2-d Interconnections For Integrated Circuits
App 20180151416 - WU; Chia-Tien ;   et al.
2018-05-31
Structure And Formation Method Of Semiconductor Device Structure
App 20180122739 - CHEN; Jian-Hua ;   et al.
2018-05-03
Split Rail Structures Located In Adjacent Metal Layers
App 20180108611 - Wu; Chia-Tien ;   et al.
2018-04-19
Method Of Adjusting Metal Line Pitch
App 20180039723 - LIN; WEI-CHENG ;   et al.
2018-02-08
Structure and formation method of semiconductor device structure
Grant 9,865,539 - Chen , et al. January 9, 2
2018-01-09
Structure And Formation Method Of Damascene Structure
App 20170316975 - PENG; Tai-Yen ;   et al.
2017-11-02
Advanced Metal Connection With Metal Cut
App 20170301618 - Chen; Chih-Liang ;   et al.
2017-10-19
Structure And Formation Method Of Semiconductor Device Structure
App 20170263548 - CHEN; Jian-Hua ;   et al.
2017-09-14
Structure and formation method of damascene structure
Grant 9,721,836 - Peng , et al. August 1, 2
2017-08-01
Combination interconnect structure and methods of forming same
Grant 9,716,035 - Yang , et al. July 25, 2
2017-07-25
Conductive element structure and method
Grant 9,595,471 - Yang , et al. March 14, 2
2017-03-14
Battery
App 20170062840 - WU; Chia-Tien ;   et al.
2017-03-02
Air gap forming techniques based on anodic alumina for interconnect structures
Grant 9,583,383 - Wu , et al. February 28, 2
2017-02-28
Method Of Forming An Interconnect Structure For A Semiconductor Device
App 20160365276 - WU; Yung-Hsu ;   et al.
2016-12-15
Method Of Fabricating Semiconductor Device With Reduced Trench Distortions
App 20160358788 - Yen; Yung-Sung ;   et al.
2016-12-08
Conductive Element Structure and Method
App 20160358817 - Yang; Tai-I ;   et al.
2016-12-08
Air Gap Forming Techniques Based On Anodic Alumina For Interconnect Structures
App 20160343606 - Wu; Chia-Tien ;   et al.
2016-11-24
Method of forming trench cut
Grant 9,490,136 - Chang , et al. November 8, 2
2016-11-08
Structure And Formation Method Of Damascene Structure
App 20160276221 - PENG; Tai-Yen ;   et al.
2016-09-22
Method of forming an interconnect structure for a semiconductor device
Grant 9,431,297 - Wu , et al. August 30, 2
2016-08-30
Conductive element structure and method
Grant 9,425,089 - Yang , et al. August 23, 2
2016-08-23
Method Of Fabricating Semiconductor Device
App 20160240430 - Yen; Yung-Sung ;   et al.
2016-08-18
Method of fabricating semiconductor device with reduced trench distortions
Grant 9,418,868 - Yen , et al. August 16, 2
2016-08-16
Method of fabricating semiconductor device
Grant 9,412,649 - Yen , et al. August 9, 2
2016-08-09
Structure and formation method of damascene structure
Grant 9,397,045 - Peng , et al. July 19, 2
2016-07-19
Structure And Formation Method Of Damascene Structure
App 20160111371 - PENG; Tai-Yen ;   et al.
2016-04-21
Method Of Forming An Interconnect Structure For A Semiconductor Device
App 20160099174 - Wu; Yung-Hsu ;   et al.
2016-04-07
Semiconductor arrangement and formation thereof
Grant 9,305,837 - Wu , et al. April 5, 2
2016-04-05
Conductive Element Structure And Method
App 20150380303 - Yang; Tai-I ;   et al.
2015-12-31
Combination Interconnect Structure and Methods of Forming Same
App 20150371939 - Yang; Tai-I ;   et al.
2015-12-24
Semiconductor Arrangement And Formation Thereof
App 20150294905 - Wu; Chia-Tien ;   et al.
2015-10-15
Air Gap Forming Techniques Based On Anodic Alumina For Interconnect Structures
App 20150279724 - Wu; Chia-Tien ;   et al.
2015-10-01
Air Gap Forming Techniques Based On Anodic Alumina For Interconnect Structures
App 20150194383 - Wu; Chia-Tien ;   et al.
2015-07-09
Air gap forming techniques based on anodic alumina for interconnect structures
Grant 9,076,790 - Wu , et al. July 7, 2
2015-07-07
Solar energy power supply system
Grant 7,867,641 - Wu , et al. January 11, 2
2011-01-11
Battery
Grant 7,585,586 - Wu , et al. September 8, 2
2009-09-08
Solar energy power supply system
App 20070166573 - Wu; I-Long ;   et al.
2007-07-19
Battery
App 20070048601 - Wu; I-Long ;   et al.
2007-03-01
Exchangeable work assembly of a screwdriver and the method of using the assembly
App 20050082186 - Wu, Chia-Tien
2005-04-21
Manufacturing method of a muffler assembly
Grant 6,826,834 - Wu , et al. December 7, 2
2004-12-07
Manufacturing method of a muffler assembly
App 20040163253 - Wu, I-Long ;   et al.
2004-08-26
Force reducing device and method for adjusting shutter ropes
App 20020185236 - Wu, Chia-Tien
2002-12-12

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed