loadpatents
name:-0.10925483703613
name:-0.094029903411865
name:-0.0033869743347168
Wang; Yun-Yu Patent Filings

Wang; Yun-Yu

Patent Applications and Registrations

Patent applications and USPTO patent grants for Wang; Yun-Yu.The latest application filed is for "mitigation of hot carrier damage in field-effect transistors".

Company Profile
3.95.93
  • Wang; Yun-Yu - Poughkeepsie NY
  • Wang; Yun-Yu - Poughquag NY
  • Wang; Yun-Yu - Hopewell Junction NY US
  • Wang; Yun-Yu - Hoepwell Junction NY US
  • Wang; Yun-Yu - Colorado Springs CO
  • Wang; Yun Yu - Poughguag NY
  • Wang; Yun Yu - Poughgaug NY
  • Wang; Yun Yu - Poughquaq NY
  • Wang; Yun Yu - Poughquah NY
  • Wang, Yun Yu - Pouhquag NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Mitigation of hot carrier damage in field-effect transistors
Grant 10,566,446 - Wang , et al. Feb
2020-02-18
Mitigation Of Hot Carrier Damage In Field-effect Transistors
App 20190371918 - Wang; Yun-Yu ;   et al.
2019-12-05
Liner replacements for interconnect openings
Grant 9,953,927 - Wang , et al. April 24, 2
2018-04-24
Generating tensile strain in bulk finFET channel
Grant 9,685,553 - Wang , et al. June 20, 2
2017-06-20
Sram-like Ebi Structure Design And Implementation To Capture Mosfet Source-drain Leakage Eariler
App 20170154687 - Song; Zhigang ;   et al.
2017-06-01
Method of producing an un-distorted dark field strain map at high spatial resolution through dark field electron holography
Grant 9,551,674 - Wang , et al. January 24, 2
2017-01-24
Generating Tensile Strain In Bulk Finfet Channel
App 20160372598 - Wang; Yun-Yu ;   et al.
2016-12-22
3D transistor channel mobility enhancement
Grant 9,275,907 - Chan , et al. March 1, 2
2016-03-01
Method of replacement source/drain for 3D CMOS transistors
Grant 9,105,741 - Chan , et al. August 11, 2
2015-08-11
3D transistor channel mobility enhancement
Grant 9,023,697 - Chan , et al. May 5, 2
2015-05-05
Selective Passivation Of Vias
App 20150076695 - Cheng; Tien-Jen ;   et al.
2015-03-19
3d Transistor Channel Mobility Enhancement
App 20150041911 - CHAN; KEVIN K. ;   et al.
2015-02-12
3d Transistor Channel Mobility Enhancement
App 20150041858 - CHAN; KEVIN K. ;   et al.
2015-02-12
Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
Grant 8,901,674 - Wong , et al. December 2, 2
2014-12-02
FET device with stabilized threshold modifying material
Grant 8,735,243 - Copel , et al. May 27, 2
2014-05-27
Copper Seed Layer For An Interconnect Structure Having A Doping Concentration Level Gradient
App 20140138832 - Niu; Chengyu ;   et al.
2014-05-22
Copper seed layer for an interconnect structure having a doping concentration level gradient
Grant 8,729,702 - Niu , et al. May 20, 2
2014-05-20
Replacement Source/drain For 3d Cmos Transistors
App 20140070316 - Chan; Kevin K. ;   et al.
2014-03-13
Voltage sensitive resistor (VSR) read only memory
Grant 8,536,555 - Kane , et al. September 17, 2
2013-09-17
Voltage Sensitive Resistor (vsr) Read Only Memory
App 20130189824 - Kane; Terence L. ;   et al.
2013-07-25
Method of fabricating a device using low temperature anneal processes, a device and design structure
Grant 8,490,029 - Domenicucci , et al. July 16, 2
2013-07-16
Voltage sensitive resistor (VSR) read only memory
Grant 8,466,443 - Kane , et al. June 18, 2
2013-06-18
Post chemical mechanical polishing etch for improved time dependent dielectric breakdown reliability
Grant 8,465,657 - Chanda , et al. June 18, 2
2013-06-18
Antifuse structure for in line circuit modification
Grant 8,367,483 - Kane , et al. February 5, 2
2013-02-05
Antifuse structure for in line circuit modification
Grant 8,368,069 - Kane , et al. February 5, 2
2013-02-05
Antifuse structure for in line circuit modification
Grant 8,368,070 - Kane , et al. February 5, 2
2013-02-05
Antifuse structure for in line circuit modification
Grant 8,367,484 - Kane , et al. February 5, 2
2013-02-05
Reducing dislocation formation in semiconductor devices through targeted carbon implantation
Grant 8,343,825 - Domenicucci , et al. January 1, 2
2013-01-01
Detecting asymmetrical transistor leakage defects
Grant 8,294,485 - Ouyang , et al. October 23, 2
2012-10-23
Method of fabricating a device using low temperature anneal processes, a device and design structure
Grant 8,236,709 - Domenicucci , et al. August 7, 2
2012-08-07
Reducing Dislocation Formation In Semiconductor Devices Through Targeted Carbon Implantation
App 20120184075 - Domenicucci; Anthony G. ;   et al.
2012-07-19
Method Of Fabricating A Device Using Low Temperature Anneal Processes, A Device And Design Structure
App 20120180010 - DOMENICUCCI; Anthony G. ;   et al.
2012-07-12
Antifuse Structure For In Line Circuit Modification
App 20120126366 - Kane; Terence L. ;   et al.
2012-05-24
Antifuse Structure For In Line Circuit Modification
App 20120126367 - Kane; Terence L. ;   et al.
2012-05-24
Antifuse Structure For In Line Circuit Modification
App 20120129340 - Kane; Terence L. ;   et al.
2012-05-24
Antifuse Structure For In Line Circuit Modification
App 20120122280 - Kane; Terence L. ;   et al.
2012-05-17
Interconnect structure having enhanced electromigration reliability and a method of fabricating same
Grant 8,138,083 - Yang , et al. March 20, 2
2012-03-20
Antifuse structure for in line circuit modification
Grant 8,125,048 - Kane , et al. February 28, 2
2012-02-28
Geometry based electrical hotspot detection in integrated circuit layouts
Grant 8,108,803 - Heng , et al. January 31, 2
2012-01-31
Voltage Sensitive Resistor (vsr) Read Only Memory
App 20120001140 - Kane; Terence L. ;   et al.
2012-01-05
Method of reducing stacking faults through annealing
Grant 7,956,417 - Wang , et al. June 7, 2
2011-06-07
Geometry Based Electrical Hotspot Detection In Integrated Circuit Layouts
App 20110099529 - Heng; Fook-Luen ;   et al.
2011-04-28
Antifuse Structure For In Line Circuit Modification
App 20110079874 - Kane; Terence L. ;   et al.
2011-04-07
Method for preventing backside defects in dielectric layers formed on semiconductor substrates
Grant 7,910,484 - Dziobkowski , et al. March 22, 2
2011-03-22
Stacking fault reduction in epitaxially grown silicon
Grant 7,893,493 - Wang , et al. February 22, 2
2011-02-22
Method of Fabricating a Device Using Low Temperature Anneal Processes, a Device and Design Structure
App 20110027956 - DOMENICUCCI; Anthony G. ;   et al.
2011-02-03
Programmable precision resistor and method of programming the same
Grant 7,881,093 - Domenicucci , et al. February 1, 2
2011-02-01
Mood-Interacting Shoe Device
App 20100328088 - Lin; Chyi-Cheng ;   et al.
2010-12-30
Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method
Grant 7,859,113 - Edelstein , et al. December 28, 2
2010-12-28
Compressive nitride film and method of manufacturing thereof
Grant 7,851,376 - Yang , et al. December 14, 2
2010-12-14
Method Of Reducing Stacking Faults Through Annealing
App 20100283089 - Wang; Yun-Yu ;   et al.
2010-11-11
Structure to improve adhesion between top CVD low-K dielectric and dielectric capping layer
Grant 7,820,559 - Clevenger , et al. October 26, 2
2010-10-26
Decoder for a stationary switch machine
Grant 7,820,501 - Wang , et al. October 26, 2
2010-10-26
Metal cap for interconnect structures
Grant 7,790,599 - Yang , et al. September 7, 2
2010-09-07
Detecting Asymmetrical Transistor Leakage Defects
App 20100201376 - Ouyang; Xu ;   et al.
2010-08-12
Stacking fault reduction in epitaxially grown silicon
Grant 7,674,720 - Wang , et al. March 9, 2
2010-03-09
Programmable Precision Resistor And Method Of Programming The Same
App 20100025819 - Domenicucci; Anthony G. ;   et al.
2010-02-04
Method Of Fabricating A Gate Structure
App 20090311855 - Bruff; Richard A. ;   et al.
2009-12-17
Interconnect Structure Having Enhanced Electromigration Reliabilty And A Method Of Fabricating Same
App 20090289368 - Yang; Chih-Chao ;   et al.
2009-11-26
Interconnect structure having enhanced electromigration reliability and a method of fabricating same
Grant 7,569,475 - Yang , et al. August 4, 2
2009-08-04
Method of forming an interconnect including a dielectric cap having a tensile stress
Grant 7,563,704 - Yang , et al. July 21, 2
2009-07-21
Integration Scheme For Extension Of Via Opening Depth
App 20090181532 - Colon; David P. ;   et al.
2009-07-16
Method For Preventing Backside Defects In Dielectric Layers Formed On Semiconductor Substrates
App 20090181544 - Dziobkowski; Chester T. ;   et al.
2009-07-16
Method of TEM sample preparation for electron holography for semiconductor devices
Grant 7,560,692 - Barton , et al. July 14, 2
2009-07-14
Compressive Nitride Film And Method Of Manufacturing Thereof
App 20090137109 - Yang; Daewon ;   et al.
2009-05-28
Method of room temperature growth of SiO.sub.x on silicide as an etch stop layer for metal contact open of semiconductor devices
Grant 7,538,029 - Wang , et al. May 26, 2
2009-05-26
Advanced Correlation And Process Window Evaluation Application
App 20090119357 - Rice; James P. ;   et al.
2009-05-07
Method Of Fabricating A Gate Structure And The Structure Thereof
App 20090101980 - Bruff; Richard A. ;   et al.
2009-04-23
Compressive nitride film and method of manufacturing thereof
Grant 7,514,370 - Yang , et al. April 7, 2
2009-04-07
Methods for forming CMOS devices with intrinsically stressed metal silicide layers
Grant 7,504,336 - Purtell , et al. March 17, 2
2009-03-17
IC chip uniform delayering methods
Grant 7,504,337 - Barton , et al. March 17, 2
2009-03-17
Copper contact via structure using hybrid barrier layer
Grant 7,498,256 - Knarr , et al. March 3, 2
2009-03-03
Back end interconnect with a shaped interface
Grant 7,494,915 - Clevenger , et al. February 24, 2
2009-02-24
FET Device with Stabilized Threshold Modifying Material
App 20090039447 - Copel; Matthew W. ;   et al.
2009-02-12
Method to improve time dependent dielectric breakdown
Grant 7,473,636 - Chanda , et al. January 6, 2
2009-01-06
Stacking Fault Reduction In Epitaxially Grown Silicon
App 20080268609 - Wang; Yun-Yu ;   et al.
2008-10-30
Metal Cap For Interconnect Structures
App 20080254624 - Yang; Chih-Chao ;   et al.
2008-10-16
Structure To Improve Adhesion Between Top Cvd Low-k Dielectric And Dielectric Capping Layer
App 20080254643 - Clevenger; Lawrence A. ;   et al.
2008-10-16
Ic Chip Uniform Delayering Methods
App 20080233751 - Barton; Keith E. ;   et al.
2008-09-25
Barrier Dielectric Stack For Seam Protection
App 20080227247 - Engel; Brett H. ;   et al.
2008-09-18
Structure Including Via Having Refractory Metal Collar At Copper Wire And Dielectric Layer Liner-less Interface And Related Method
App 20080203570 - Edelstein; Daniel C. ;   et al.
2008-08-28
Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
Grant 7,402,532 - Clevenger , et al. July 22, 2
2008-07-22
Barrier dielectric stack for seam protection
Grant 7,397,073 - Engel , et al. July 8, 2
2008-07-08
Method Of Tem Sample Preparation For Electron Holography For Semiconductor Devices
App 20080156987 - Barton; Keith E. ;   et al.
2008-07-03
Interconnect Structure Having Enhanced Electromigration Reliabilty And A Method Of Fabricating Same
App 20080111239 - Yang; Chih-Chao ;   et al.
2008-05-15
HDP-based ILD capping layer
Grant 7,372,158 - Wang , et al. May 13, 2
2008-05-13
Method Of Reducing Stacking Faults Through Annealing
App 20080087961 - Wang; Yun-Yu ;   et al.
2008-04-17
Copper Contact Via Structure Using Hybrid Barrier Layer
App 20080042291 - Knarr; Randolph F. ;   et al.
2008-02-21
Silicide Cap Structure And Process For Reduced Stress And Improved Gate Sheet Resistance
App 20080020535 - Gulari; Levent ;   et al.
2008-01-24
Method for reducing dendrite formation in nickel silicon salicide processes
Grant 7,320,938 - Purtell , et al. January 22, 2
2008-01-22
Stacking Fault Reduction In Epitaxially Grown Silicon
App 20080006876 - Wang; Yun-Yu ;   et al.
2008-01-10
Structure And Method For Forming Cmos Devices With Intrinsically Stressed Silicide Using Silicon Nitride Cap
App 20070269970 - Purtell; Robert J. ;   et al.
2007-11-22
Post Chemical Mechanical Polishing Etch For Improved Time Dependent Dielectric Breakdown Reliability
App 20070267386 - Chanda; Kaushik ;   et al.
2007-11-22
Compressive Nitride Film And Method Of Manufacturing Thereof
App 20070269992 - Yang; Daewon ;   et al.
2007-11-22
Method of forming interconnect structure or interconnect and via structures using post chemical mechanical polishing
Grant 7,287,325 - Chanda , et al. October 30, 2
2007-10-30
Electroless Cobalt-containing Liner For Middle-of-the-line (mol) Applications
App 20070210448 - Wong; Keith Kwong Hon ;   et al.
2007-09-13
Method to Improve Time Dependent Dielectric Breakdown
App 20070158851 - Chanda; Kaushik ;   et al.
2007-07-12
Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
Grant 7,241,696 - Clevenger , et al. July 10, 2
2007-07-10
Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
App 20070148958 - Clevenger; Lawrence A. ;   et al.
2007-06-28
Method For Enhanced Uni-directional Diffusion Of Metal And Subsequent Silicide Formation
App 20070128867 - Domenicucci; Anthony G. ;   et al.
2007-06-07
Transmission electron microscopy sample preparation method for electron holography
Grant 7,214,935 - Bauer , et al. May 8, 2
2007-05-08
Method for enhanced uni-directional diffusion of metal and subsequent silicide formation
Grant 7,208,414 - Domenicucci , et al. April 24, 2
2007-04-24
Method And Apparatus For Deposition & Formation Of Metal Silicides
App 20070087541 - Giewont; Kenneth John ;   et al.
2007-04-19
Method And Structure Of Forming An Interconnect Including A Dielectric Cap Having A Tensile Stress
App 20070063348 - Yang; Chih-Chao ;   et al.
2007-03-22
Bilayer cap structure including HDP/bHDP films for conductive metallization and method of making same
Grant 7,179,760 - Conti , et al. February 20, 2
2007-02-20
Method For Reducing Dendrite Formation In Nickel Silicon Salicide Processes
App 20070020929 - Purtell; Robert J. ;   et al.
2007-01-25
METHOD OF ROOM TEMPERATURE GROWTH OF SIOx ON SILICIDE AS AN ETCH STOP LAYER FOR METAL CONTACT OPEN OF SEMICONDUCTOR DEVICES
App 20070010093 - Wang; Yun-Yu ;   et al.
2007-01-11
Improved Hdp-based Ild Capping Layer
App 20070004206 - Wang; Yun-Yu ;   et al.
2007-01-04
Back End Interconnect With A Shaped Interface
App 20060292852 - Clevenger; Lawrence A. ;   et al.
2006-12-28
BILAYER CAP STRUCTURE INCLUDING HDP/bHDP FILMS FOR CONDUCTIVE METALLIZATION AND METHOD OF MAKING SAME
App 20060270245 - Conti; Richard A. ;   et al.
2006-11-30
HDP-based ILD capping layer
Grant 7,138,717 - Wang , et al. November 21, 2
2006-11-21
Post Chemical Mechanical Polishing Etch For Improved Time Dependent Dielectric Breakdown Reliability
App 20060254053 - Chanda; Kaushik ;   et al.
2006-11-16
Method for controlling voiding and bridging in silicide formation
Grant 7,129,169 - Jones , et al. October 31, 2
2006-10-31
Back end interconnect with a shaped interface
Grant 7,122,462 - Clevenger , et al. October 17, 2
2006-10-17
Method for reducing dendrite formation in nickel silicon salicide processes
Grant 7,109,116 - Purtell , et al. September 19, 2
2006-09-19
System and method for improving spatial resolution of electron holography
Grant 7,102,145 - Domenicucci , et al. September 5, 2
2006-09-05
Method and structure for reduction of contact resistance of metal silicides using a metal-germanium alloy
Grant 7,102,234 - Cabral, Jr. , et al. September 5, 2
2006-09-05
Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
Grant 7,102,232 - Clevenger , et al. September 5, 2
2006-09-05
Method to generate porous organic dielectric
Grant 7,101,784 - Clevenger , et al. September 5, 2
2006-09-05
Silicide Cap Structure And Process For Reduced Stress And Improved Gate Sheet Resistance
App 20060163671 - Gulari; Levent ;   et al.
2006-07-27
Structure for controlling the interface roughness of cobalt disilicide
Grant 7,081,676 - Agnello , et al. July 25, 2
2006-07-25
Improved Hdp-based Ild Capping Layer
App 20060113672 - Wang; Yun-Yu ;   et al.
2006-06-01
Barrier Dielectric Stack for Seam Protection
App 20060108609 - Engel; Brett H. ;   et al.
2006-05-25
Nanoscale Defect Image Detection For Semiconductors
App 20060098862 - Demarest; James J. ;   et al.
2006-05-11
System and method for improving spatial resolution of electron holography
App 20060097167 - Domenicucci; Anthony G. ;   et al.
2006-05-11
Transmission Electron Microscopy Sample Preparation Method For Electron Holography
App 20060065830 - Bauer; Thomas A. ;   et al.
2006-03-30
Electron holography method
Grant 7,015,469 - Wang , et al. March 21, 2
2006-03-21
Structure And Method For Enhanced Uni-directional Diffusion Of Cobalt Silicide
App 20060057844 - Domenicucci; Anthony G. ;   et al.
2006-03-16
Nitride and polysilicon interface with titanium layer
App 20060001162 - Schutz; Ronald J. ;   et al.
2006-01-05
Method For Controlling Voiding And Bridging In Silicide Formation
App 20050255699 - Jones, Bradley P. ;   et al.
2005-11-17
Structure to improve adhesion between top CVD low-k dielectiric and dielectric capping layer
App 20050230831 - Clevenger, Lawrence A. ;   et al.
2005-10-20
Method to generate porous organic dielectric
App 20050200024 - Clevenger, Lawrence A. ;   et al.
2005-09-15
Method to generate porous organic dielectric
Grant 6,921,978 - Clevenger , et al. July 26, 2
2005-07-26
Method for forming metal replacement gate of high performance
Grant 6,921,711 - Cabral, Jr. , et al. July 26, 2
2005-07-26
Bilayer HDP CVD/PE CVD cap in advanced BEOL interconnect structures and method thereof
Grant 6,914,320 - Chen , et al. July 5, 2
2005-07-05
Back End Interconnect With a Shaped Interface
App 20050112864 - Clevenger, Lawrence A. ;   et al.
2005-05-26
Bilayer HDP CVD/PE CVD cap in advance BEOL interconnect structures and method thereof
Grant 6,887,783 - Chen , et al. May 3, 2
2005-05-03
Site-specific methodology for localization and analyzing junction defects in mosfet devices
Grant 6,884,641 - Bruley , et al. April 26, 2
2005-04-26
Pre-anneal of CoSi, to prevent formation of amorphous layer between Ti-O-N and CoSi
Grant 6,878,624 - Bruley , et al. April 12, 2
2005-04-12
Pre-anneal Of Cosi, To Prevent Formation Of Amorphous Layer Between Ti-o-n And Cosi
App 20050070098 - Bruley, John ;   et al.
2005-03-31
Method and apparatus for deposition & formation of metal silicides
App 20050067745 - Giewont, Kenneth John ;   et al.
2005-03-31
Site-specific Methodology For Localization And Analyzing Junction Defects In Mosfet Devices
App 20050064610 - BRULEY, JOHN ;   et al.
2005-03-24
Structure And Method For Metal Replacement Gate Of High Performance
App 20050051854 - Cabral, Cyril JR. ;   et al.
2005-03-10
Method To Generate Porous Organic Dielectric
App 20040224494 - Clevenger, Lawrence A. ;   et al.
2004-11-11
Method and structure for controlling the interface roughness of cobalt disilicide
Grant 6,809,030 - Agnello , et al. October 26, 2
2004-10-26
Method and structure for reduction of contact resistance of metal silicides using a metal-germanium alloy
App 20040195695 - Cabral,, Cyril JR. ;   et al.
2004-10-07
Electron holography method
App 20040195506 - Wang, Yun-Yu ;   et al.
2004-10-07
Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
App 20040173907 - Chen, Tze-Chiang ;   et al.
2004-09-09
Method and structure for reduction of contact resistance of metal silicides using a metal-germanium alloy
Grant 6,753,606 - Cabral, Jr. , et al. June 22, 2
2004-06-22
Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
App 20040115921 - Clevenger, Larry ;   et al.
2004-06-17
Method to enhance epitaxial regrowth in amorphous silicon contacts
Grant 6,740,568 - Wang , et al. May 25, 2
2004-05-25
Method and structure for controlling the interface roughness of cobalt disilicide
App 20040087160 - Agnello, Paul David ;   et al.
2004-05-06
Method for forming crystalline silicon nitride
Grant 6,707,086 - Jammy , et al. March 16, 2
2004-03-16
Structure for detecting charging effects in device processing
Grant 6,703,641 - Kane , et al. March 9, 2
2004-03-09
Method to enhance epi-regrowth in amorphous poly CB contacts
App 20040018680 - Wang, Yun Yu ;   et al.
2004-01-29
Ti liner for copper interconnect with low-k dielectric
Grant 6,661,097 - Clevenger , et al. December 9, 2
2003-12-09
Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
App 20030134499 - Chen, Tze-Chiang ;   et al.
2003-07-17
Integration scheme for advanced BEOL metallization including low-k cap layer and method thereof
App 20030134495 - Gates, Stephen ;   et al.
2003-07-17
Structure for detecting charging effects in device processing
App 20030094609 - Kane, Terence L. ;   et al.
2003-05-22
Chromium adhesion layer for copper vias in low-k technology
Grant 6,539,625 - Engel , et al. April 1, 2
2003-04-01
Method for producing a flat interface for a metal-silicon contact barrier film
App 20020180046 - Wang, Yun-Yu ;   et al.
2002-12-05
Method and structure for controlling the interface roughness of cobalt disilicide
App 20020182836 - Agnello, Paul David ;   et al.
2002-12-05
Method for improved fabrication of salicide structures
Grant 6,475,893 - Giewont , et al. November 5, 2
2002-11-05
Method For Improved Fabrication Of Salicide Structures
App 20020142616 - Giewont, Kenneth J. ;   et al.
2002-10-03
Method For Forming Crystalline Silicon Nitride
App 20020137362 - JAMMY, RAJARAO ;   et al.
2002-09-26
Method and structure for controlling the interface roughness of cobalt disilicide
Grant 6,440,851 - Agnello , et al. August 27, 2
2002-08-27
Chromium adhesion layer for copper vias in low-k technology
App 20020088117 - Engel, Brett H. ;   et al.
2002-07-11
Conversion of amorphous layer produced during IMP Ti deposition
Grant 6,387,790 - Domenicucci , et al. May 14, 2
2002-05-14
Copper vias in low-k technology
Grant 6,383,929 - Boettcher , et al. May 7, 2
2002-05-07
Microstructure liner having improved adhesion
Grant 6,380,628 - Miller , et al. April 30, 2
2002-04-30
Method and structure for reduction of contact resistance of metal silicides using a metal-germanium alloy
App 20020042197 - Cabral,, Cyril JR. ;   et al.
2002-04-11
Plasma treatment to enhance inorganic dielectric adhesion to copper
App 20010053591 - Buchwalter, Leena P. ;   et al.
2001-12-20
Method and structure for reduction of contact resistance of metal silicides using a metal-germanium alloy
Grant 6,331,486 - Cabral, Jr. , et al. December 18, 2
2001-12-18
Method for self-aligned formation of silicide contacts using metal silicon alloys for limited silicon consumption and for reduction of bridging
Grant 6,323,130 - Brodsky , et al. November 27, 2
2001-11-27
Microstructure Liner Having Improved Adhesion
App 20010019884 - MILLER, JOHN A. ;   et al.
2001-09-06
Plasma treatment to enhance inorganic dielectric adhesion to copper
Grant 6,261,951 - Buchwalter , et al. July 17, 2
2001-07-17
Quantum conductive recrystallization barrier layers
Grant 6,194,736 - Chaloux , et al. February 27, 2
2001-02-27
Method for forming an atomically flat interface for a highly disordered metal-silicon barrier film
Grant 6,022,801 - Domenicucci , et al. February 8, 2
2000-02-08

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed