loadpatents
name:-0.15679788589478
name:-0.10948610305786
name:-0.031331777572632
TSAI; Ming-Hsing Patent Filings

TSAI; Ming-Hsing

Patent Applications and Registrations

Patent applications and USPTO patent grants for TSAI; Ming-Hsing.The latest application filed is for "interconnect structures and manufacturing method thereof".

Company Profile
26.80.85
  • TSAI; Ming-Hsing - Chu-Pei City TW
  • Tsai; Ming-Hsing - Chu-Pei TW
  • Tsai; Ming-Hsing - Hsinchu County TW
  • Tsai; Ming-Hsing - Zhubei TW
  • TSAI; Ming-Hsing - Zhubei City TW
  • Tsai; Ming-Hsing - Taipei N/A TW
  • Tsai; Ming-Hsing - Jhubei TW
  • Tsai; Ming-Hsing - Taipei City TW
  • Tsai, Ming-Hsing - Hsinchu TW
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Interconnect Structures And Manufacturing Method Thereof
App 20220293503 - HUANG; Chun-Hsien ;   et al.
2022-09-15
Contact Structure For Semiconductor Device And Method
App 20220278199 - Tsai; Yan-Ming ;   et al.
2022-09-01
Contact with a silicide region
Grant 11,411,094 - Cheng , et al. August 9, 2
2022-08-09
Phase control in contact formation
Grant 11,410,880 - Huang , et al. August 9, 2
2022-08-09
Semiconductor device pre-cleaning
Grant 11,373,905 - Chu , et al. June 28, 2
2022-06-28
Interconnect Structure of Semiconductor Device
App 20220165616 - Liu; Yao-Min ;   et al.
2022-05-26
Contact structure for semiconductor device and method
Grant 11,335,774 - Tsai , et al. May 17, 2
2022-05-17
Ammonium Fluoride Pre-clean Protection
App 20220149519 - CHU; Li-Wei ;   et al.
2022-05-12
Interconnect Structure Of Semiconductor Device
App 20220084937 - Liu; Yao-Min ;   et al.
2022-03-17
Metal Adhesion Layer To Promote Metal Plug Adhesion
App 20220084879 - WU; Pei-Wen ;   et al.
2022-03-17
Semiconductor Device Pre-cleaning
App 20220068712 - CHU; Li-Wei ;   et al.
2022-03-03
Ammonium fluoride pre-clean protection
Grant 11,232,947 - Chu , et al. January 25, 2
2022-01-25
Formation method of semiconductor device structure with metal-semiconductor compound region
Grant 11,222,818 - Chao , et al. January 11, 2
2022-01-11
Method for forming semiconductor contact structure
Grant 11,195,791 - Cheng , et al. December 7, 2
2021-12-07
Contact Conductive Feature Formation and Structure
App 20210343590 - Chang; Ken-Yu ;   et al.
2021-11-04
Chemical Direct Pattern Plating Method
App 20210272910 - LIU; Wen-Jiun ;   et al.
2021-09-02
Conductive Feature Formation and Structure
App 20210225701 - Wang; Yu Shih ;   et al.
2021-07-22
Contact conductive feature formation and structure
Grant 11,062,941 - Chang , et al. July 13, 2
2021-07-13
Conductive Feature Formation and Structure Using Bottom-Up Filling Deposition
App 20210193517 - Chen; Pin-Wen ;   et al.
2021-06-24
Chemical direct pattern plating method
Grant 10,998,269 - Liu , et al. May 4, 2
2021-05-04
Contact Structure For Semiconductor Device And Method
App 20210118994 - Tsai; Yan-Ming ;   et al.
2021-04-22
Conductive feature formation and structure
Grant 10,971,396 - Wang , et al. April 6, 2
2021-04-06
Conductive feature formation and structure using bottom-up filling deposition
Grant 10,943,823 - Chen , et al. March 9, 2
2021-03-09
Semiconductor device and method
Grant 10,867,845 - Wang , et al. December 15, 2
2020-12-15
Chemical Direct Pattern Plating Method
App 20200388575 - Liu; Wen-Jiun ;   et al.
2020-12-10
Phase Control in Contact Formation
App 20200343135 - Huang; Chun-Hsien ;   et al.
2020-10-29
Contact Conductive Feature Formation and Structure
App 20200203222 - Chang; Ken-Yu ;   et al.
2020-06-25
Chemical direct pattern plating method
Grant 10,692,814 - Liu , et al.
2020-06-23
Method of Forming a Contact with a Silicide Region
App 20200152763 - Cheng; Yu-Wen ;   et al.
2020-05-14
Method For Forming Semiconductor Contact Structure
App 20200111739 - CHENG; Yu-Wen ;   et al.
2020-04-09
Contact conductive feature formation and structure
Grant 10,580,693 - Chang , et al.
2020-03-03
Conductive Feature Formation and Structure Using Bottom-Up Filling Deposition
App 20200051858 - Chen; Pin-Wen ;   et al.
2020-02-13
Semiconductor Device and Method
App 20200043781 - Wang; Yu-Sheng ;   et al.
2020-02-06
Formation Method Of Semiconductor Device Structure With Metal-semiconductor Compound Region
App 20200020583 - CHAO; Yi-Hsiang ;   et al.
2020-01-16
Contact Conductive Feature Formation and Structure
App 20200020578 - Chang; Ken-Yu ;   et al.
2020-01-16
Method of forming a contact with a silicide region
Grant 10,535,748 - Cheng , et al. Ja
2020-01-14
Systems and methods for integrated resputtering in a physical vapor deposition chamber
Grant 10,515,788 - Pan , et al. Dec
2019-12-24
Contact structure and the method of forming the same
Grant 10,504,834 - Cheng , et al. Dec
2019-12-10
Semiconductor device and method
Grant 10,497,615 - Wang , et al. De
2019-12-03
Conductive feature formation and structure using bottom-up filling deposition
Grant 10,475,702 - Chen , et al. Nov
2019-11-12
Conductive Feature Formation and Structure Using Bottom-Up Filling Deposition
App 20190287851 - CHEN; Pin-Wen ;   et al.
2019-09-19
Contact Structure And The Method Of Forming The Same
App 20190273042 - Cheng; Yu-Wen ;   et al.
2019-09-05
Conformal Metal Diffusion Barrier And Plasma Treatment For Oxidized Metal Barrier
App 20190273147 - CHENG; Yu-Wen ;   et al.
2019-09-05
Conductive feature formation and structure
Grant 10,361,120 - Wang , et al.
2019-07-23
Conductive Feature Formation And Structure
App 20190164823 - WANG; Yu Shih ;   et al.
2019-05-30
Conductive Feature Formation and Structure
App 20190164824 - Wang; Yu Shih ;   et al.
2019-05-30
Pre-deposition treatment and atomic layer deposition (ALD) process and structures formed thereby
Grant 10,297,453 - Tsai , et al.
2019-05-21
Semiconductor device having interconnect layer that includes dielectric segments interleaved with metal components
Grant 10,262,944 - Lin , et al.
2019-04-16
Semiconductor Device and Method
App 20190109043 - Wang; Yu-Sheng ;   et al.
2019-04-11
Semiconductor structure
Grant 10,170,417 - Wu , et al. J
2019-01-01
Method of forming self-alignment contact
Grant 10,163,719 - Liu , et al. Dec
2018-12-25
Semiconductor device and method
Grant 10,157,785 - Wang , et al. Dec
2018-12-18
Semiconductor Device and Method
App 20180315647 - Wang; Yu-Sheng ;   et al.
2018-11-01
System For Pre-deposition Treatment Of A Work-function Metal Layer
App 20180261459 - TSAI; Cheng-Yen ;   et al.
2018-09-13
Semiconductor device structure and method for forming the same
Grant 10,050,116 - Liu , et al. August 14, 2
2018-08-14
Pre-Deposition Treatment and Atomic Layer Deposition (ALD) Process and Structures Formed Thereby
App 20180218912 - Tsai; Cheng-Yen ;   et al.
2018-08-02
Systems and Methods for Integrated Resputtering in a Physical Vapor Deposition Chamber
App 20180158658 - Pan; Shing-Chyang ;   et al.
2018-06-07
Methods for pre-deposition treatment of a work-function metal layer
Grant 9,978,601 - Tsai , et al. May 22, 2
2018-05-22
Semiconductor device
Grant 9,978,681 - Su , et al. May 22, 2
2018-05-22
Method of making a silicide beneath a vertical structure
Grant 9,966,448 - Lin , et al. May 8, 2
2018-05-08
Mechanisms of forming damascene interconnect structures
Grant 9,953,868 - Chen , et al. April 24, 2
2018-04-24
Pre-deposition treatment and atomic layer deposition (ALD) process and structures formed thereby
Grant 9,947,540 - Tsai , et al. April 17, 2
2018-04-17
Semiconductor Structure
App 20180090431 - WU; Chung-Chiang ;   et al.
2018-03-29
Semiconductor Device Structure and Method for Forming the Same
App 20180040705 - Liu; Hsiao-Ping ;   et al.
2018-02-08
Systems and methods for integrated resputtering in a physical vapor deposition chamber
Grant 9,887,072 - Pan , et al. February 6, 2
2018-02-06
Semiconductor structure and methods of forming the same
Grant 9,824,969 - Wu , et al. November 21, 2
2017-11-21
Semiconductor Structure And Methods Of Forming The Same
App 20170330829 - WU; Chung-Chiang ;   et al.
2017-11-16
Semiconductor device structure and method for forming the same
Grant 9,818,834 - Liu , et al. November 14, 2
2017-11-14
Vertical structure having an etch stop over portion of the source
Grant 9,805,968 - Lin , et al. October 31, 2
2017-10-31
Semiconductor Device Structure And Method For Forming The Same
App 20170200800 - LIU; Hsiao-Ping ;   et al.
2017-07-13
Method of Forming Self-Alignment Contact
App 20170170292 - Liu; Hsiao-Ping ;   et al.
2017-06-15
Vertical Structure and Method of Forming Semiconductor Device
App 20170154807 - Lin; Cheng-Tung ;   et al.
2017-06-01
Chemical Direct Pattern Plating Method
App 20170133324 - LIU; Wen-Jiun ;   et al.
2017-05-11
Atomic Layer Deposition Methods And Structures Thereof
App 20170110324 - TSAI; Cheng-Yen ;   et al.
2017-04-20
Semiconductor Device Having Interconnect Layer That Includes Dielectric Segments Interleaved With Metal Components
App 20170084485 - Lin; Chun-Chieh ;   et al.
2017-03-23
Method of forming pattern for semiconductor device
Grant 9,601,344 - Lee , et al. March 21, 2
2017-03-21
Vertical structure and method of forming semiconductor device
Grant 9,577,093 - Lin , et al. February 21, 2
2017-02-21
Pre-deposition Treatment And Atomic Layer Deposition (ald) Process And Structures Formed Thereby
App 20170032972 - Tsai; Cheng-Yen ;   et al.
2017-02-02
Semiconductor device having interconnect layer that includes dielectric segments interleaved with metal components
Grant 9,520,362 - Lin , et al. December 13, 2
2016-12-13
Method for line stress reduction through dummy shoulder structures
Grant 9,437,485 - Kuo , et al. September 6, 2
2016-09-06
Interconnect structure and method of forming the same
Grant 9,397,047 - Chen , et al. July 19, 2
2016-07-19
Mechanisms Of Forming Damascene Interconnect Structures
App 20160133514 - Chen; Chien-An ;   et al.
2016-05-12
Mechanisms of forming damascene interconnect structures
Grant 9,269,612 - Chen , et al. February 23, 2
2016-02-23
Vertical Structure And Method Of Forming Semiconductor Device
App 20160027917 - LIN; CHENG-TUNG ;   et al.
2016-01-28
Interconnect Structure and Method of Forming the Same
App 20150371955 - Chen; Jeng-Shiou ;   et al.
2015-12-24
Semiconductor Device
App 20150371943 - SU; Hung-Wen ;   et al.
2015-12-24
Stress-controlled formation of TiN hard mask
Grant 9,218,970 - Lin , et al. December 22, 2
2015-12-22
Vertical Structure And Method Of Forming The Same
App 20150333152 - LIN; CHENG-TUNG ;   et al.
2015-11-19
Vertical structure and method of forming semiconductor device
Grant 9,166,001 - Lin , et al. October 20, 2
2015-10-20
Semiconductor device and method for forming the same
Grant 9,123,781 - Su , et al. September 1, 2
2015-09-01
Semiconductor Device Having Interconnect Layer That Includes Dielectric Segments Interleaved With Metal Components
App 20150235963 - Lin; Chun-Chieh ;   et al.
2015-08-20
Vertical Structure And Method Of Forming Semiconductor Device
App 20150228718 - LIN; CHENG-TUNG ;   et al.
2015-08-13
STRESS-CONTROLLED FORMATION OF TiN HARD MASK
App 20150187579 - LIN; Rueijer ;   et al.
2015-07-02
Method Of Forming Pattern For Semiconductor Device
App 20150187591 - Lee; Chia-Ying ;   et al.
2015-07-02
Dummy Shoulder Structure For Line Stress Reduction
App 20140208283 - Kuo; Cheng-Cheng ;   et al.
2014-07-24
Low resistance and reliable copper interconnects by variable doping
Grant 8,785,321 - Ko , et al. July 22, 2
2014-07-22
Mechanisms Of Forming Damascene Interconnect Structures
App 20130127055 - CHEN; Chien-An ;   et al.
2013-05-23
Low Resistance And Reliable Copper Interconnects By Variable Doping
App 20120021602 - Ko; Ting-Chu ;   et al.
2012-01-26
Low resistance and reliable copper interconnects by variable doping
Grant 8,053,892 - Ko , et al. November 8, 2
2011-11-08
Semiconductor Device And Method For Forming The Same
App 20100230816 - Su; Hung-Wen ;   et al.
2010-09-16
Semiconductor device and method for forming the same
Grant 7,749,896 - Su , et al. July 6, 2
2010-07-06
Interconnects with harmonized stress and methods for fabricating the same
Grant 7,638,859 - Lu , et al. December 29, 2
2009-12-29
Methods and apparatuses for electrochemical deposition
Grant 7,597,787 - Su , et al. October 6, 2
2009-10-06
Uniform current distribution for ECP loading of wafers
Grant 7,544,281 - Lin , et al. June 9, 2
2009-06-09
Method and apparatus for electroplating
Grant 7,476,306 - Su , et al. January 13, 2
2009-01-13
Method for forming dual damascene structures with tapered via portions and improved performance
Grant 7,354,856 - Yeh , et al. April 8, 2
2008-04-08
Semiconductor device and fabrication method thereof
Grant 7,312,531 - Chang , et al. December 25, 2
2007-12-25
Interconnect structures and methods for fabricating the same
App 20070287294 - Ko; Ting-Chu ;   et al.
2007-12-13
Method and apparatus for fabricating metal layer
App 20070181434 - Lee; Hsien-Ming ;   et al.
2007-08-09
Method to solve via poisoning for porous low-k dielectric
Grant 7,250,683 - Tsai , et al. July 31, 2
2007-07-31
Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
Grant 7,235,482 - Wu , et al. June 26, 2
2007-06-26
Method and apparatus for fabricating metal layer
Grant 7,226,860 - Lee , et al. June 5, 2
2007-06-05
Semiconductor device and fabrication method thereof
App 20070096326 - Chang; Hui-Lin ;   et al.
2007-05-03
Low resistance and reliable copper interconnects by variable doping
App 20070054488 - Ko; Ting-Chu ;   et al.
2007-03-08
Semiconductor device and method for forming the same
App 20070052096 - Su; Hung-Wen ;   et al.
2007-03-08
Copper interconnect structures and fabrication method thereof
App 20070048991 - Shih; Chien-Hsueh ;   et al.
2007-03-01
Metal interconnect features with a doping gradient
Grant 7,169,700 - Chang , et al. January 30, 2
2007-01-30
Interconnects with harmonized stress and methods for fabricating the same
App 20060276027 - Lu; Yung-Cheng ;   et al.
2006-12-07
Uniform current distribution for ECP loading of wafers
App 20060243596 - Lin; Ming-Wei ;   et al.
2006-11-02
Method for forming dual damascene structures with tapered via portions and improved performance
App 20060199379 - Yeh; Ming-Shih ;   et al.
2006-09-07
Methods and apparatuses for electrochemical deposition
App 20060196775 - Su; Hung-Wen ;   et al.
2006-09-07
Composition and process for element displacement metal passivation
App 20060189131 - Chang; Chung-Liang ;   et al.
2006-08-24
Method to improve palanarity of electroplated copper
App 20060189127 - Chou; Shih-Wei ;   et al.
2006-08-24
Method to improve planarity of electroplated copper
Grant 7,064,068 - Chou , et al. June 20, 2
2006-06-20
Low resistance and reliable copper interconnects by variable doping
Grant 7,026,244 - Ko , et al. April 11, 2
2006-04-11
Process for rendering metal corrosion-resistant in electrochemical metal deposition
App 20060054508 - Lin; Ming-Wei ;   et al.
2006-03-16
Metal interconnect features with a doping gradient
App 20060027460 - Chang; Chung Liang ;   et al.
2006-02-09
Method and apparatus for fabricating metal layer
App 20050245072 - Lee, Hsien-Ming ;   et al.
2005-11-03
Method and apparatus for electroplating
App 20050224359 - Su, Hung-Wen ;   et al.
2005-10-13
Apparatus and method for removing metal from wafer edge
App 20050211379 - Su, Hung-Wen ;   et al.
2005-09-29
Method to solve via poisoning for porous low-k dielectric
App 20050170648 - Tsai, Ming-Hsing ;   et al.
2005-08-04
Method to improve planarity of electroplated copper
App 20050164495 - Chou, Shih-Wei ;   et al.
2005-07-28
Loadlock
App 20050097769 - Lin, Jing-Cheng ;   et al.
2005-05-12
Method to solve via poisoning for porous low-k dielectric
Grant 6,878,615 - Tsai , et al. April 12, 2
2005-04-12
Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
App 20050054196 - Wu, Chii-Ming ;   et al.
2005-03-10
Low resistance and reliable copper interconnects by variable doping
App 20050029659 - Ko, Ting-Chu ;   et al.
2005-02-10
Method of improving surface mobility before electroplating
App 20040196697 - Ko, Ted ;   et al.
2004-10-07
Method for integrating an electrodeposition and electro-mechanical polishing process
Grant 6,793,797 - Chou , et al. September 21, 2
2004-09-21
Method for integrating low-K materials in semiconductor fabrication
Grant 6,759,750 - Shue , et al. July 6, 2
2004-07-06
Method for improving an electrodeposition process through use of a multi-electrode assembly
Grant 6,706,166 - Chou , et al. March 16, 2
2004-03-16
Method for integrating low-K materials in semiconductor fabrication
App 20040017009 - Shue, Shau-Lin ;   et al.
2004-01-29
Method for fabricating metal gates in deep sub-micron devices
Grant 6,660,577 - Chen , et al. December 9, 2
2003-12-09
Copper back-end-of-line by electropolish
Grant 6,649,513 - Tsai , et al. November 18, 2
2003-11-18
Method For Improving An Electrodeposition Process Through Use Of A Multi-electrode Assembly
App 20030205477 - Chou, Shih-Wei ;   et al.
2003-11-06
Method for integrating an electrodeposition and electro-mechanical polishing process
App 20030183530 - Chou, Shih-Wei ;   et al.
2003-10-02
Reduction of Cu line damage by two-step CMP
Grant 6,620,725 - Shue , et al. September 16, 2
2003-09-16
Method For Fabricating Metal Gates In Deep Sub-micron Devices
App 20030162342 - Chen, Sheng-Hsiung ;   et al.
2003-08-28
Dual damascene structure employing nitrogenated silicon carbide and non-nitrogenated silicon carbide etch stop layers
Grant 6,562,725 - Tsai , et al. May 13, 2
2003-05-13
Method to solve via poisoning for porous low-k dielectric
App 20030077897 - Tsai, Ming-Hsing ;   et al.
2003-04-24
Method of doping copper metallization
App 20030022480 - Tsai, Ming-Hsing ;   et al.
2003-01-30
Dual damascene structure employing nitrogenated silicon carbide and non-nitrogenated silicon carbide ETCH stop layers
App 20030008511 - Tsai, Ming-Hsing ;   et al.
2003-01-09
Selective growth of copper for advanced metallization
Grant 6,420,258 - Chen , et al. July 16, 2
2002-07-16
Method of improved copper gap fill
Grant 6,399,486 - Chen , et al. June 4, 2
2002-06-04
Gap filling by two-step plating
Grant 6,319,831 - Tsai , et al. November 20, 2
2001-11-20
Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug
Grant 6,309,964 - Tsai , et al. October 30, 2
2001-10-30
Method for preventing seed layer oxidation for high aspect gap fill
Grant 6,303,498 - Chen , et al. October 16, 2
2001-10-16
Method of forming bumps for flip chip applications
Grant 6,300,250 - Tsai October 9, 2
2001-10-09
Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
Grant 6,274,484 - Tsai , et al. August 14, 2
2001-08-14
Method for improvement of gap filling capability of electrochemical deposition of copper
Grant 6,224,737 - Tsai , et al. May 1, 2
2001-05-01
Multi-step electrochemical copper deposition process with improved filling capability
Grant 6,140,241 - Shue , et al. October 31, 2
2000-10-31
Self aligned dual damascene process and structure with low parasitic capacitance
Grant 6,133,144 - Tsai , et al. October 17, 2
2000-10-17

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed