loadpatents
name:-0.67405605316162
name:-1.2048571109772
name:-0.082247972488403
Taiwan Semiconductor Manufacturing Company Patent Filings

Taiwan Semiconductor Manufacturing Company

Patent Applications and Registrations

Patent applications and USPTO patent grants for Taiwan Semiconductor Manufacturing Company.The latest application filed is for "image sensor grid and method of manufacturing same".

Company Profile
94.200.200
  • Taiwan Semiconductor Manufacturing Company - Hsin-Chu TW
  • Taiwan Semiconductor Manufacturing Company - Hsinchu TW
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO. - Hsinchu TW
  • Taiwan Semiconductor Manufacturing Co. - Ltd.Hsinchu TW
  • Taiwan Semiconductor Manufacturing Company. - Hsin-Chu TW
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY - TW TW
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, - Ltd., Hsin-Chu TW
  • TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, - Hsinchu City TW
  • TAIWAN SEMICONDUCTOR MANUFACTURING CO - Hsin-Chu TW
  • Taiwan Semiconductor Manufacturing Company,; - US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
System for processing semiconductor devices
Grant 11,355,471 - Huang , et al. June 7, 2
2022-06-07
Package structure
Grant 11,282,766 - Ku , et al. March 22, 2
2022-03-22
Selective deposition of barrier layer
Grant 11,251,073 - Huang , et al. February 15, 2
2022-02-15
Memory devices and methods of manufacture thereof
Grant 11,251,314 - Kalnitsky , et al. February 15, 2
2022-02-15
Methods of packaging semiconductor devices and packaged semiconductor devices
Grant 11,239,138 - Cheng , et al. February 1, 2
2022-02-01
FinFET devices with a fin top hardmask
Grant 11,227,932 - Ching , et al. January 18, 2
2022-01-18
Image Sensor Grid And Method Of Manufacturing Same
App 20210351225 - CHEN; H. L. ;   et al.
2021-11-11
High-implant Channel Semiconductor Device And Method For Manufacturing The Same
App 20210344303 - CHEN; Chia-Chung ;   et al.
2021-11-04
Semiconductor Device And Method Of Manufacturing The Same
App 20210343715 - WU; Guo-Huei ;   et al.
2021-11-04
Semiconductor device packages, packaging methods, and packaged semiconductor devices
Grant 11,164,857 - Chen , et al. November 2, 2
2021-11-02
Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
Grant 11,158,588 - Tsai , et al. October 26, 2
2021-10-26
Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
Grant 11,158,587 - Tsai , et al. October 26, 2
2021-10-26
Package with embedded heat dissipation features
Grant 11,133,237 - Hung , et al. September 28, 2
2021-09-28
Semiconductor Device and Method
App 20210296112 - Fan; Sheng-Ting ;   et al.
2021-09-23
Semiconductor Device Including Standard Cells
App 20210257388 - GUO; Ta-Pen ;   et al.
2021-08-19
Packaged semiconductor devices and methods of packaging thereof
Grant 11,094,622 - Chen August 17, 2
2021-08-17
Anti-reflective coating materials
Grant 11,094,541 - Su , et al. August 17, 2
2021-08-17
Mechanism for FinFET well doping
Grant 11,075,108 - Tsai , et al. July 27, 2
2021-07-27
Image sensor grid and method of manufacturing same
Grant 11,069,740 - Chen , et al. July 20, 2
2021-07-20
Interconnect structure having a carbon-containing barrier layer
Grant 11,062,909 - Lin , et al. July 13, 2
2021-07-13
Solderless interconnection structure and method of forming same
Grant 11,043,462 - Lin , et al. June 22, 2
2021-06-22
Package-on-package (PoP) device with integrated passive device in a via
Grant 10,978,433 - Hsiao , et al. April 13, 2
2021-04-13
Complimentary metal-oxide-semiconductor (CMOS) with low contact resistivity and method of forming same
Grant 10,978,451 - Wann , et al. April 13, 2
2021-04-13
Methods of packaging semiconductor devices including placing semiconductor devices into die caves
Grant 10,964,594 - Lin , et al. March 30, 2
2021-03-30
Packaged semiconductor devices and methods of packaging semiconductor devices
Grant 10,950,514 - Yu , et al. March 16, 2
2021-03-16
Redistribution layers in semiconductor packages and methods of forming same
Grant 10,950,577 - Hsieh , et al. March 16, 2
2021-03-16
Structure of a fin field effect transistor (FinFET)
Grant 10,937,894 - Lee , et al. March 2, 2
2021-03-02
Packaging methods for semiconductor devices, packaged semiconductor devices, and design methods thereof
Grant 10,872,878 - Wang , et al. December 22, 2
2020-12-22
Dam for three-dimensional integrated circuit
Grant 10,867,878 - Wang , et al. December 15, 2
2020-12-15
Semiconductor device
Grant 10,861,830 - Yeh , et al. December 8, 2
2020-12-08
Metal contact structure and method of forming the same in a semiconductor device
Grant 10,825,724 - Lin , et al. November 3, 2
2020-11-03
Carrier warpage control for three dimensional integrated circuit (3DIC) stacking
Grant 10,825,693 - Lin , et al. November 3, 2
2020-11-03
Semiconductor devices, methods of manufacture thereof, and semiconductor device packages
Grant 10,818,583 - Chen , et al. October 27, 2
2020-10-27
Packaging devices and methods of manufacture thereof
Grant 10,811,369 - Chen , et al. October 20, 2
2020-10-20
Semiconductor package for thermal dissipation
Grant 10,811,389 - Yu , et al. October 20, 2
2020-10-20
Protrusion bump pads for bond-on-trace processing
Grant 10,804,192 - Liang , et al. October 13, 2
2020-10-13
Semiconductor devices, methods of manufacture thereof, and packaged semiconductor devices
Grant 10,790,252 - Lei , et al. September 29, 2
2020-09-29
Memory devices and methods of manufacture thereof
Grant 10,770,598 - Kalnitsky , et al. Sep
2020-09-08
Image Sensor Grid And Method Of Manufacturing Same
App 20200279887 - Chen; H. L. ;   et al.
2020-09-03
Interconnect structure and method of forming same
Grant 10,755,974 - Chu , et al. A
2020-08-25
Anti-reflective gap filling materials and methods
Grant 10,755,927 - Su , et al. A
2020-08-25
Biosensor device and related method
Grant 10,746,693 - Huang , et al. A
2020-08-18
Embedded transistor
Grant 10,748,907 - Ting , et al. A
2020-08-18
Fan-out package and methods of forming thereof
Grant 10,741,511 - Shih , et al. A
2020-08-11
Semiconductor devices, methods of manufacture thereof, and capacitors
Grant 10,727,294 - Chiou , et al.
2020-07-28
Methods and apparatus for MOS capacitors in replacement gate process
Grant 10,720,361 - Wang , et al.
2020-07-21
Semiconductor device and a method for fabricating the same
Grant 10,714,586 - Hsu , et al.
2020-07-14
Embedded transistor
Grant 10,700,070 - Ting , et al.
2020-06-30
Cell layout and structure
Grant 10,664,639 - Hsieh , et al.
2020-05-26
Method for non-resist nanolithography
Grant 10,665,696 - Chen , et al.
2020-05-26
FinFET semiconductor device with germanium diffusion over silicon fins
Grant 10,665,674 - Lee , et al.
2020-05-26
Packages and packaging methods for semiconductor devices, and packaged semiconductor devices
Grant 10,658,337 - Yu , et al.
2020-05-19
Interconnect Structure Having a Carbon-Containing Barrier Layer
App 20200144065 - Lin; Rueijer ;   et al.
2020-05-07
Power gating for three dimensional integrated circuits (3DIC)
Grant 10,643,986 - Chao , et al.
2020-05-05
Packaging devices and methods of manufacture thereof
Grant 10,629,555 - Chen , et al.
2020-04-21
Packaged semiconductor devices and methods of packaging semiconductor devices
Grant 10,629,508 - Yu , et al.
2020-04-21
Package with embedded heat dissipation features
Grant 10,629,510 - Hung , et al.
2020-04-21
Structure of a fin field effect transistor (FinFET)
Grant 10,535,757 - Lee , et al. Ja
2020-01-14
Semiconductor device
Grant 10,535,638 - Yeh , et al. Ja
2020-01-14
Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
Grant 10,529,673 - Tsai , et al. J
2020-01-07
Interconnect structure having a carbon-containing barrier layer
Grant 10,529,575 - Lin , et al. J
2020-01-07
Method for a stacked and bonded semiconductor device
Grant 10,522,496 - Cheng , et al. Dec
2019-12-31
Packaging devices and methods of manufacture thereof
Grant 10,522,480 - Chen , et al. Dec
2019-12-31
Finfet with self-aligned source/drain
Grant 10,522,634 - Huang , et al. Dec
2019-12-31
Package on-package (PoP) device with integrated passive device in a via
Grant 10,515,938 - Hsiao , et al. Dec
2019-12-24
Semiconductor devices having Fin Field Effect Transistor (FinFET) structures and manufacturing and design methods thereof
Grant 10,515,956 - Lee , et al. Dec
2019-12-24
Semiconductor devices, methods of manufacturing thereof, and image sensor devices
Grant 10,515,994 - Chuang , et al. Dec
2019-12-24
Semiconductor devices having reduced noise
Grant 10,515,990 - Hung , et al. Dec
2019-12-24
Memory devices and methods of manufacture thereof
Grant 10,510,902 - Kalnitsky , et al. Dec
2019-12-17
Semiconductor device and method
Grant 10,510,856 - Hsieh , et al. Dec
2019-12-17
Packaged semiconductor devices and methods of packaging semiconductor devices
Grant 10,510,716 - Yu , et al. Dec
2019-12-17
Packaging devices and methods for semiconductor devices
Grant 10,510,687 - Hung Dec
2019-12-17
Semiconductor devices employing a barrier layer
Grant 10,510,655 - Chang Chien , et al. Dec
2019-12-17
Methods of packaging semiconductor devices and packaged semiconductor devices
Grant 10,510,719 - Yu , et al. Dec
2019-12-17
Complimentary metal-oxide-semiconductor (CMOS) with low contact resistivity and method of forming same
Grant 10,510,754 - Wann , et al. Dec
2019-12-17
FinFET with two fins on STI
Grant 10,510,853 - Vellianitis , et al. Dec
2019-12-17
Uniform-size bonding patterns
Grant 10,510,793 - Chen , et al. Dec
2019-12-17
Semiconductor device package including conformal metal cap contacting each semiconductor die
Grant 10,510,561 - Tsai , et al. Dec
2019-12-17
Semiconductor device and formation thereof
Grant 10,505,017 - Duriez , et al. Dec
2019-12-10
FinFET semiconductor device with germanium diffusion over silicon fins
Grant 10,504,993 - Lee , et al. Dec
2019-12-10
Wafer processing method and apparatus
Grant 10,504,756 - Huang , et al. Dec
2019-12-10
Redistribution layers in semiconductor packages and methods of forming same
Grant 10,475,768 - Hsieh , et al. Nov
2019-11-12
Tuning tensile strain on FinFET
Grant 10,453,842 - Ching , et al. Oc
2019-10-22
Interconnect Structure and Method of Forming the Same
App 20190304792 - Lin; Rueijer ;   et al.
2019-10-03
Robust through-silicon-via structure
Grant 10,396,014 - Lin , et al. A
2019-08-27
Fan-out package and methods of forming thereof
Grant 10,366,960 - Shih , et al. July 30, 2
2019-07-30
Methods and apparatus for MOS capacitors in replacement gate process
Grant 10,354,920 - Wang , et al. July 16, 2
2019-07-16
Hybrid bonding systems and methods for semiconductor wafers
Grant 10,354,972 - Liu , et al. July 16, 2
2019-07-16
Integrated circuit having FinFETS with different fin profiles
Grant 10,340,270 - Liaw
2019-07-02
Multiple nozzle slurry dispense scheme
Grant 10,335,920 - Hsieh , et al.
2019-07-02
Solderless interconnection structure and method of forming same
Grant 10,319,691 - Lin , et al.
2019-06-11
Method of forming an interconnect structure
Grant 10,312,098 - Lin , et al.
2019-06-04
Method of manufacturing semiconductor devices having conductive plugs with varying widths
Grant 10,304,818 - Tsai , et al.
2019-05-28
Complimentary metal-oxide-semiconductor (CMOS) with low contact resistivity and method of forming same
Grant 10,304,826 - Wann , et al.
2019-05-28
Method for an image sensor device
Grant 10,297,632 - Chen , et al.
2019-05-21
Mechanism for FinFET well doping
Grant 10,297,492 - Tsai , et al.
2019-05-21
Substrateless integrated circuit packages and methods of forming same
Grant 10,290,604 - Huang , et al.
2019-05-14
Carrier warpage control for three dimensional integrated circuit (3DIC) stacking
Grant 10,290,513 - Lin , et al.
2019-05-14
Molding structure for wafer level package
Grant 10,283,427 - Yu , et al.
2019-05-07
Semiconductor devices and FinFETs
Grant 10,269,971 - Holland
2019-04-23
Semiconductor device and method
Grant 10,269,632 - Chu , et al.
2019-04-23
Packaged semiconductor devices and methods of packaging semiconductor devices
Grant 10,269,673 - Yu , et al.
2019-04-23
Interconnect structure and method of forming the same
Grant 10,269,700 - Chiu , et al.
2019-04-23
FinFETs with source/drain cladding
Grant 10,269,964 - Ching , et al.
2019-04-23
Low noise amplifier
Grant 10,270,398 - Jin
2019-04-23
Packaged semiconductor devices and methods of packaging thereof
Grant 10,269,693 - Chen
2019-04-23
Semiconductor liner of semiconductor device
Grant 10,269,901 - Ching , et al.
2019-04-23
Semiconductor devices, methods of manufacture thereof, and packaged semiconductor devices
Grant 10,269,747 - Lei , et al.
2019-04-23
Method of manufacturing a semiconductor device
Grant 10,262,974 - Yeh , et al.
2019-04-16
Self-aligned double patterning
Grant 10,256,096 - Huang , et al.
2019-04-09
Fin structure of semiconductor device
Grant 10,186,602 - Chen , et al. Ja
2019-01-22
Temporary bonding scheme
Grant 10,170,387 - Lee , et al. J
2019-01-01
Uniform-size bonding patterns
Grant 10,170,512 - Chen , et al. J
2019-01-01
Interconnect structure including a conductive feature and a barrier layer on sidewalls and a bottom surface of the conductive feature and method of forming the same
Grant 10,163,644 - Lin , et al. Dec
2018-12-25
Protrusion bump pads for bond-on-trace processing
Grant 10,163,774 - Liang , et al. Dec
2018-12-25
Methods of packaging semiconductor devices including placing semiconductor devices into die caves
Grant 10,163,711 - Lin , et al. Dec
2018-12-25
Semiconductor package for thermal dissipation
Grant 10,163,861 - Yu , et al. Dec
2018-12-25
Method for forming self-aligned contact
Grant 10,163,703 - Wang , et al. Dec
2018-12-25
Molding structure for wafer level package
Grant 10,163,804 - Yu , et al. Dec
2018-12-25
Package-on-package (PoP) device with integrated passive device in a via
Grant 10,163,873 - Hsiao , et al. Dec
2018-12-25
Packaging devices and methods for semiconductor devices
Grant 10,163,821 - Hung Dec
2018-12-25
FinFET with two fins on STI
Grant 10,164,031 - Vellianitis , et al. Dec
2018-12-25
Method and apparatus of a three dimensional integrated circuit
Grant 10,157,252 - Chang , et al. Dec
2018-12-18
Semiconductor devices having reduced noise
Grant 10,157,942 - Hung , et al. Dec
2018-12-18
Carrier warpage control for three dimensional integrated circuit (3DIC) stacking
Grant 10,153,179 - Lin , et al. Dec
2018-12-11
Semiconductor Device And A Method For Fabricating The Same
App 20180350928 - HUANG; Yi-Jyun ;   et al.
2018-12-06
Integrated circuit packages and methods of forming same
Grant 10,141,201 - Yu , et al. Nov
2018-11-27
Semiconductor Device And A Method For Fabricating The Same
App 20180337244 - HSU; Chia-Ming ;   et al.
2018-11-22
Interconnect Structure and Method of Forming the Same
App 20180337056 - Lin; Rueijer ;   et al.
2018-11-22
Package substrates, packaged semiconductor devices, and methods of packaging semiconductor devices
Grant 10,128,208 - Hou , et al. November 13, 2
2018-11-13
Packaging methods and packaged semiconductor devices
Grant 10,128,175 - Lin , et al. November 13, 2
2018-11-13
Wafer bonding process and structure
Grant 10,128,209 - Liu , et al. November 13, 2
2018-11-13
Method of manufacturing a semiconductor device
Grant 10,121,698 - Lee , et al. November 6, 2
2018-11-06
Photoresist and method
Grant 10,114,286 - Wu , et al. October 30, 2
2018-10-30
Packaged semiconductor devices and packaging devices and methods
Grant 10,109,573 - Lin , et al. October 23, 2
2018-10-23
Tools and systems for processing semiconductor devices, and methods of processing semiconductor devices
Grant 10,109,612 - Huang , et al. October 23, 2
2018-10-23
Hybrid bonding systems and methods for semiconductor wafers
Grant 10,103,122 - Liu , et al. October 16, 2
2018-10-16
Photoresist and method
Grant 10,095,113 - Lai , et al. October 9, 2
2018-10-09
Semiconductor device and method of forming same
Grant 10,090,167 - Chiu , et al. October 2, 2
2018-10-02
FinFET with trench field plate
Grant 10,090,390 - Ng , et al. October 2, 2
2018-10-02
Packaging devices and methods
Grant 10,079,200 - Liang , et al. September 18, 2
2018-09-18
Packaging devices and methods of manufacture thereof
Grant 10,079,213 - Chen , et al. September 18, 2
2018-09-18
Self-alignment for redistribution layer
Grant 10,074,595 - Yang , et al. September 11, 2
2018-09-11
Method for forming alignment marks and structure of same
Grant 10,074,612 - Chou , et al. September 11, 2
2018-09-11
Packages and packaging methods for semiconductor devices, and packaged semiconductor devices
Grant 10,074,631 - Yu , et al. September 11, 2
2018-09-11
Method of using a wafer cassette to charge an electrostatic carrier
Grant 10,068,789 - Chiou , et al. September 4, 2
2018-09-04
Bump structure for yield improvement
Grant 10,056,347 - Chiu , et al. August 21, 2
2018-08-21
Semiconductor device and manufacturing method thereof
Grant 10,050,147 - Liang , et al. August 14, 2
2018-08-14
Apparatus and method for wafer level bonding
Grant 10,049,901 - Liu , et al. August 14, 2
2018-08-14
Semiconductor device packages, packaging methods, and packaged semiconductor devices
Grant 10,049,898 - Chen , et al. August 14, 2
2018-08-14
Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
Grant 10,049,989 - Tsai , et al. August 14, 2
2018-08-14
Semiconductor devices, FinFET devices, and manufacturing methods thereof
Grant 10,049,938 - Wang , et al. August 14, 2
2018-08-14
Memory devices and methods of manufacture thereof
Grant 10,043,919 - Kalnitsky , et al. August 7, 2
2018-08-07
Maranagoni dry with low spin speed for charging release
Grant 10,043,653 - Chen , et al. August 7, 2
2018-08-07
Methods of packaging semiconductor devices and packaged semiconductor devices
Grant 10,043,778 - Yu , et al. August 7, 2
2018-08-07
Alignment systems and wafer bonding systems and methods
Grant 10,037,968 - Huang , et al. July 31, 2
2018-07-31
Semiconductor devices having Fin field effect transistor (FinFET) structures and manufacturing and design methods thereof
Grant 10,037,994 - Lee , et al. July 31, 2
2018-07-31
Photoresist system and method
Grant 10,036,953 - Wu , et al. July 31, 2
2018-07-31
Packaging devices and methods of manufacture thereof
Grant 10,037,955 - Chen , et al. July 31, 2
2018-07-31
Interconnection structure with confinement layer
Grant 10,032,698 - Lo , et al. July 24, 2
2018-07-24
Packaged semiconductor devices and packaging methods thereof
Grant 10,032,662 - Liao , et al. July 24, 2
2018-07-24
Simulation scheme including self heating effect
Grant 10,019,545 - Jeng , et al. July 10, 2
2018-07-10
Protrusion bump pads for bond-on-trace processing
Grant 10,020,276 - Chen , et al. July 10, 2
2018-07-10
Protrusion bump pads for bond-on-trace processing
Grant 10,014,270 - Liang , et al. July 3, 2
2018-07-03
Structures having a tapering curved profile and methods of making same
Grant 10,008,459 - Tsai , et al. June 26, 2
2018-06-26
Semiconductor device and method
Grant 10,002,854 - Yeh , et al. June 19, 2
2018-06-19
Semiconductor device structure with conductive pillar and conductive line and method for forming the same
Grant 10,002,826 - Yang , et al. June 19, 2
2018-06-19
Process to etch semiconductor materials
Grant 10,002,922 - Colinge , et al. June 19, 2
2018-06-19
Methods for reducing contact resistance in semiconductors manufacturing process
Grant 9,997,631 - Yang , et al. June 12, 2
2018-06-12
FinFET with high mobility and strain channel
Grant 9,997,629 - Li , et al. June 12, 2
2018-06-12
Semiconductor device packages, packaging methods, and packaged semiconductor devices
Grant 9,991,247 - Chen , et al. June 5, 2
2018-06-05
Semiconductor Device And Manufacturing Method Thereof
App 20180151375 - HSU; Yu-Ling ;   et al.
2018-05-31
Cell having shifted boundary and boundary-shift scheme
Grant 9,984,192 - Yang , et al. May 29, 2
2018-05-29
Barrier structure for copper interconnect
Grant 9,984,975 - Lin , et al. May 29, 2
2018-05-29
Resistive random access memory (RRAM) with improved forming voltage characteristics and method for making
Grant 9,985,203 - Chen , et al. May 29, 2
2018-05-29
Cell layout and structure
Grant 9,984,191 - Hsieh , et al. May 29, 2
2018-05-29
Low dropout regulator and related method
Grant 9,977,441 - Chou , et al. May 22, 2
2018-05-22
Package with embedded heat dissipation features
Grant 9,978,660 - Hung , et al. May 22, 2
2018-05-22
Method for non-resist nanolithography
Grant 9,972,702 - Chen , et al. May 15, 2
2018-05-15
Barrier structure for copper interconnect
Grant 9,966,339 - Lin , et al. May 8, 2
2018-05-08
Bump structure and method of forming same
Grant 9,966,346 - Chen , et al. May 8, 2
2018-05-08
Method and apparatus for image sensor packaging
Grant 9,966,405 - Hsu , et al. May 8, 2
2018-05-08
Pillar design for conductive bump
Grant 9,953,948 - Hsieh , et al. April 24, 2
2018-04-24
Contact resistance control in epitaxial structures of finFET
Grant 9,953,875 - Cheng , et al. April 24, 2
2018-04-24
Through package via (TPV)
Grant 9,953,949 - Lin , et al. April 24, 2
2018-04-24
Method of forming a semiconductor device
Grant 9,953,878 - Huang , et al. April 24, 2
2018-04-24
Iii-v Semiconductor Layers, Iii-v Semiconductor Devices And Methods Of Manufacturing Thereof
App 20180108747 - VAN DAL; Mark ;   et al.
2018-04-19
Multi-wafer stacking by Ox-Ox bonding
Grant 9,941,249 - Tsai , et al. April 10, 2
2018-04-10
Semiconductor devices, methods of manufacture thereof, and inter-metal dielectric (IMD) structures
Grant 9,941,214 - Peng , et al. April 10, 2
2018-04-10
Devices and methods of packaging semiconductor devices
Grant 9,935,084 - Chen , et al. April 3, 2
2018-04-03
Semiconductor device packages and methods
Grant 9,935,038 - Wang , et al. April 3, 2
2018-04-03
Package substrates, packaged semiconductor devices, and methods of packaging semiconductor devices
Grant 9,917,068 - Hou , et al. March 13, 2
2018-03-13
III-V semiconductor layers, III-V semiconductor devices and methods of manufacturing thereof
Grant 9,876,088 - Van Dal , et al. January 23, 2
2018-01-23
Spin dispenser module substrate surface protection system
Grant 9,855,579 - Yang , et al. January 2, 2
2018-01-02
Method of forming a semiconductor structure
Grant 9,818,938 - Tu , et al. November 14, 2
2017-11-14
Biosensor calibration system and related method
Grant 9,797,976 - Wen , et al. October 24, 2
2017-10-24
Semiconductor device including Fin FET and manufacturing method thereof
Grant 9,680,017 - Lo , et al. June 13, 2
2017-06-13
Semiconductor device having a guard ring
Grant 9,659,879 - Yang , et al. May 23, 2
2017-05-23
Packaging devices and methods
Grant 9,653,418 - Liang , et al. May 16, 2
2017-05-16
Method for fabricating self-aligned contact in a semiconductor device
Grant 9,647,116 - Lee , et al. May 9, 2
2017-05-09
Method For Fabricating Self-aligned Contact In A Semiconductor Device
App 20170125586 - LEE; Chen-Ming ;   et al.
2017-05-04
Apparatus For Manufacturing A Thin Film And A Method Therefor
App 20170110312 - HSIAO; Tsai-Fu ;   et al.
2017-04-20
Semiconductor device and manufacturing method thereof
Grant 9,607,882 - Lin March 28, 2
2017-03-28
Semiconductor Device Including Fin Fet And Manufacturing Method Thereof
App 20170077286 - LO; Hung ;   et al.
2017-03-16
Semiconductor Device And Manufacturing Method Thereof
App 20170062265 - LIN; Hsiang-Wei
2017-03-02
Semiconductor device including fin structures disposed over buffer structures and manufacturing method thereof
Grant 9,583,623 - Fung , et al. February 28, 2
2017-02-28
Semiconductor Device And Manufacturing Method Thereof
App 20170054023 - LI; Kun-Mu ;   et al.
2017-02-23
Method For Forming Semiconductor Device Structure
App 20170040451 - HUANG; Shin-Yeh ;   et al.
2017-02-09
Semiconductor Device Including Fin Structures Disposed Over Buffer Structures And Manufacturing Method Thereof
App 20170033220 - FUNG; Ka-Hing ;   et al.
2017-02-02
Semiconductor Device And Manufacturing Method Thereof
App 20170025536 - LIANG; Chun-Sheng ;   et al.
2017-01-26
Finfet With Doped Isolation Insulating Layer
App 20170025535 - WU; Cheng-Ta ;   et al.
2017-01-26
Integrated Thermoelectric Devices In Fin Fet Technology
App 20170012194 - WANG; Jhong-Sheng ;   et al.
2017-01-12
Semiconductor device and fabricating method thereof
Grant 9,520,477 - Hung , et al. December 13, 2
2016-12-13
Low dropout regulator
Grant 9,513,646 - Lan December 6, 2
2016-12-06
FinFET semiconductor device having fins with stronger structural strength
Grant 9,496,259 - Chien , et al. November 15, 2
2016-11-15
Finfet Semiconductor Device Having Fins With Stronger Structural Strength
App 20160307894 - CHIEN; Chao-Hsin ;   et al.
2016-10-20
Semiconductor device including fin structures and manufacturing method thereof
Grant 9,472,620 - Wang , et al. October 18, 2
2016-10-18
Reduction of Edge Effects from Aspect Ratio Trapping
App 20160254152 - Cheng; Zhiyuan
2016-09-01
Semiconductor Device Including Fin Structure With Two Channel Layers And Manufacturing Method Thereof
App 20160218217 - Lee; Tung Ying ;   et al.
2016-07-28
Squelch detector
Grant 9,319,041 - Wang , et al. April 19, 2
2016-04-19
Packaging through Pre-Formed Metal Pins
App 20150200171 - Yu; Chen-Hua ;   et al.
2015-07-16
FinFET with bottom SiGe layer in source/drain
Grant 8,963,258 - Yu , et al. February 24, 2
2015-02-24
Invisible Dummy Features and Method for Forming the Same
App 20140264961 - Huang; Wei-Chieh
2014-09-18
Method And Apparatus For Improving Cmp Planarity
App 20140256134 - Lu; Hsin-Hsien ;   et al.
2014-09-11
Method and apparatus for improving CMP planarity
Grant 8,828,875 - Lu , et al. September 9, 2
2014-09-09
3D Packages and Methods for Forming the Same
App 20140225258 - Chiu; Tzu-Wei ;   et al.
2014-08-14
Methods and Apparatus for ESD Protection Circuits
App 20140225158 - Kuo; Hsi-Yu ;   et al.
2014-08-14
Tool Optimizing Tuning Systems And Associated Methods
App 20140207271 - Tsai; Po-Feng ;   et al.
2014-07-24
Voltage Level Shifter
App 20140184299 - CHUNG; Tao Wen ;   et al.
2014-07-03
Antenna Apparatus and Method
App 20140168014 - Chih; Lai Wei ;   et al.
2014-06-19
Methods and Apparatus for Package with Interposers
App 20140160688 - Lu; Chun-Lin ;   et al.
2014-06-12
Method For Determining Applicabilty Of A Processing Device, A Processing Path And A Processing Pattern
App 20140161361 - NAN; Ching-Hsi ;   et al.
2014-06-12
ESD Protection Apparatus
App 20140160606 - Su; Yu-Ti ;   et al.
2014-06-12
Method Of Forming Dielectric Films Using A Plurality Of Oxidation Gases
App 20140162425 - Chi; Liang-Chen ;   et al.
2014-06-12
Test Structure Placement on a Semiconductor Wafer
App 20140151699 - Wu; Chuan-Ling ;   et al.
2014-06-05
Package With A Fan-out Structure And Method Of Forming The Same
App 20140151890 - Mao; Yi-Chao ;   et al.
2014-06-05
Apparatus For Esd Protection
App 20140151809 - Lin; Wun-Jie ;   et al.
2014-06-05
SRAM Cell Comprising FinFETs
App 20140153322 - Liaw; Jhon-Jhy
2014-06-05
Magnetoresistive Random Access Memory (mram) Differential Bit Cell And Method Of Use
App 20140146599 - ROMANOVSKYY; Sergiy
2014-05-29
Vccmin For A Dual Port Synchronous Random Access Memory (dpsram) Cell Utilized As A Single Port Synchronous Random Access Memory (spsram) Cell
App 20140146631 - Wu; Ching-Wei ;   et al.
2014-05-29
High Voltage Drain-Extended MOSFET Having Extra Drain-OD Addition
App 20140145261 - Chen; Yi-Sheng ;   et al.
2014-05-29
Semiconductor Integrated Device with Channel Region
App 20140138763 - Yang; Kai-Chieh ;   et al.
2014-05-22
Method for Forming Package-on-Package Structure
App 20140138816 - Lu; Chun-Lin ;   et al.
2014-05-22
Finfet Having Uniform Doping Profile And Method Of Forming The Same
App 20140138780 - Colinge; Jean-Pierre ;   et al.
2014-05-22
Digital Second-Order CDR Circuits
App 20140140458 - Fu; Chin-Ming ;   et al.
2014-05-22
Method For Fabricating A Semiconductor Device
App 20140127893 - Huang; Yu-Lien
2014-05-08
Semiconductor Device and Method of Forming the Same
App 20140124869 - Chuang; Harry-Hak-Lay ;   et al.
2014-05-08
Reducing Pattern Loading Effect in Epitaxy
App 20140127886 - Sung; Hsueh-Chang ;   et al.
2014-05-08
FinFET with Dummy Gate on Non-Recessed Shallow Trench Isolation (STI)
App 20140117454 - Liu; Chi-Wen ;   et al.
2014-05-01
Method For Validating Measurement Data
App 20140121799 - Liu; Yu-Lun ;   et al.
2014-05-01
Surface Profile For Semiconductor Region
App 20140117512 - Chen; Chao-Hsuing ;   et al.
2014-05-01
Apparatus and Method for Lithography Patterning
App 20140113220 - Chu; Yuan-Chih
2014-04-24
POP Structures and Methods of Forming the Same
App 20140103488 - Chen; Hsu-Hsien ;   et al.
2014-04-17
Guard Rings On Fin Structures
App 20140097496 - Hu; Chia-Hsin ;   et al.
2014-04-10
Method Of Optimizing Lithography Tools Utilization
App 20140078478 - Kao; Yao-Hwan ;   et al.
2014-03-20
Voltage Generating Circuit
App 20140063981 - HONG; Hyun-Sung
2014-03-06
Multiple Device Voltage Electrostatic Discharge Clamp
App 20140063665 - Chen; Yu-Ren ;   et al.
2014-03-06
D Flip-Flop with High-Swing Output
App 20140021995 - Zhan; Hao-Jie ;   et al.
2014-01-23
Method and apparatus for improving capacitor capacitance and compatibility
Grant 8,604,531 - Tu December 10, 2
2013-12-10
Self-aligned Patterning For Deep Implantation In A Semiconductor Structure
App 20130323917 - LI; Chen-Yan ;   et al.
2013-12-05
Methods and Apparatus for Via Last Through-Vias
App 20130277789 - Chen; Szu-Ying ;   et al.
2013-10-24
Enhanced Euv Lithography System
App 20130258304 - Chang; Ching-Hsu ;   et al.
2013-10-03
Method Of Fabricating A Semiconductor Device
App 20130244434 - Lu; Kuei-Liang ;   et al.
2013-09-19
Self-repairing Memory
App 20130212449 - O'CONNELL; Cormac Michael
2013-08-15
Method to improve bump reliability for flip chip device
Grant 8,497,584 - Chen , et al. July 30, 2
2013-07-30
Wordline Driver
App 20130170313 - EARLE; Adrian ;   et al.
2013-07-04
Wafer Level Packaging
App 20130102101 - Cheng; Chun-Wen ;   et al.
2013-04-25
Multi-Junction Solar Cells
App 20130081684 - Fiorenza; James ;   et al.
2013-04-04
Seal Ring Structure With A Metal Pad
App 20130082346 - Lin; Jeng-Shyan ;   et al.
2013-04-04
Method of Manufacturing a Device with a Cavity
App 20130069178 - Verheijden; Greja Johanna Adriana Maria ;   et al.
2013-03-21
System and Method for 3D Integrated Circuit Stacking
App 20130062766 - Ching; Kai-Ming
2013-03-14
Pillar Structure Having A Non-planar Surface For Semiconductor Devices
App 20130056869 - Kuo; Tin-Hao ;   et al.
2013-03-07
Reduction Of Etch Microloading For Through Silicon Vias
App 20130059443 - CHANG; Hung-Pin ;   et al.
2013-03-07
Dummy Fill To Reduce Shallow Trench Isolation (sti) Stress Variation On Transistor Performance
App 20130043553 - CHERN; Chan-Hong
2013-02-21
Semiconductor Device with a Buried Stressor
App 20130043507 - Wu; Zhiqiang ;   et al.
2013-02-21
Mechanisms For Forming Ultra Shallow Junction
App 20130037863 - WU; Chii-Ming ;   et al.
2013-02-14
InP-Based Transistor Fabrication
App 20130040431 - Ye; Peide ;   et al.
2013-02-14
Integrating the Formation of I/O and Core MOS Devices with MOS Capacitors and Resistors
App 20130034946 - Chuang; Harry ;   et al.
2013-02-07
Tri-Gate Field-Effect Transistors Formed by Aspect Ration Trapping
App 20130034943 - Lochtefeld; Anthony J.
2013-02-07
Semiconductor Diodes Fabricated by Aspect Ratio Trapping with Coalesced Films
App 20130034924 - Lochtefeld; Anthony J.
2013-02-07
Method For Forming A Reduced Active Area In A Phase Change Memory Structure
App 20110059590 - Lai; Li-Shyue ;   et al.
2011-03-10
Method to produce 3-D optical gyroscope my MEMS technology
App 20100175479 - Wu; Ting-Hau ;   et al.
2010-07-15
Robust TSV structure
App 20100171203 - CHEN; Hsien-Wei ;   et al.
2010-07-08
Method And Apparatus For Cleaning Semiconductor Device Fabrication Equipment Using Supercritical Fluids
App 20100126531 - KU; Shao-Yen ;   et al.
2010-05-27
Method of yield management for semiconductor manufacture and apparatus thereof
App 20090299669 - Leu; I-Yun ;   et al.
2009-12-03
SRAM Device with a Power Saving Module Controlled by Word Line Signals
App 20090040858 - Kengeri; Subramani ;   et al.
2009-02-12
Method and system for in-situ parametric SRAM diagnosis
App 20080130385 - Chung; Shine Chien
2008-06-05
Method of forming a resist structure
App 20070254244 - Chang; Ching-Yu
2007-11-01
Strained silicon-on-insulator transistors with mesa isolation
App 20070190702 - Yeo; Yee-Chia ;   et al.
2007-08-16
High voltage tolerant input buffer operable in under-drive conditions
App 20070069770 - Chen; Kuo-Ji
2007-03-29
Charge pump system with smooth voltage output
App 20070063761 - Chou; Chung-Cheng ;   et al.
2007-03-22
Word line driver with reduced leakage current
App 20070040581 - Chen; Yen-Huei
2007-02-22
Hybrid non-volatile memory device
App 20070036004 - Chih; Yue-Der ;   et al.
2007-02-15
Regenerative power-on control circuit
App 20070030039 - Chen; Ker-Min
2007-02-08
Device structures for reducing device mismatch due to shallow trench isolation induced oxides stresses
App 20070026628 - Chung; Shine Chien ;   et al.
2007-02-01
Protection layer for preventing laser damage on semiconductor devices
App 20070018279 - Lin; Jian-Hong ;   et al.
2007-01-25
Method for identifying a physical failure location on an integrated circuit
App 20070016879 - Kuo; Feng-Ming
2007-01-18
Interconnect structure for integrated circuits
App 20070001304 - Liaw; Jhon Jhy
2007-01-04
Layout structure for ESD protection circuits
App 20060284256 - Wu; Yi-Hsun ;   et al.
2006-12-21
Method for reducing leakage current in a semiconductor device
App 20060278959 - Huang; Tsung-Hsun ;   et al.
2006-12-14
ESD protection circuit using a transistor chain
App 20060278930 - Huang; Shao-Chang
2006-12-14
Method for improving threshold voltage stability of a MOS device
App 20060281267 - Liaw; Jhon Jhy
2006-12-14
Method and system for keeping time alignment between optical data modulation and a periodically modulated light source
App 20060280507 - Bai; Yu Sheng
2006-12-14
Guard rings with local coupling capacitance
App 20060267132 - Lee; Cheng Hung
2006-11-30
Tie-off circuit with ESD protection features
App 20060268474 - Huang; Shao-Chang ;   et al.
2006-11-30
Memory system with bit-line discharging mechanism
App 20060256635 - Lee; Cheng-Hung ;   et al.
2006-11-16
Method of specifying pin states for a memory chip
App 20060256602 - Wu; Jeremy ;   et al.
2006-11-16
Method for forming a metal layer in multiple steps
App 20060237320 - Lin; K.Y. ;   et al.
2006-10-26
Supply voltage independent sensing circuit for electrical fuses
App 20060232904 - Wu; Jui-Jen ;   et al.
2006-10-19
Method and system for magnetic shielding in semiconductor integrated circuit
App 20060229683 - Wang; Chao-Hsiung ;   et al.
2006-10-12
Antenna effect prevention by model extraction in a circuit design for advanced processes
App 20060225007 - Wang; Chung-Hsing ;   et al.
2006-10-05
ESD protection circuit with low parasitic capacitance
App 20060215337 - Wu; Yi-Hsun ;   et al.
2006-09-28
Method and structure for metal-insulator-metal capacitor based memory device
App 20060189072 - Tu; Kuo-Chi
2006-08-24
Self-latched control circuit for memory program operation
App 20060133162 - Wang; Chien-Fan
2006-06-22
Electronic customs release slip (E-CRS)
App 20060122843 - Lin; Hsiu-Chu ;   et al.
2006-06-08
Semiconductor structure for isolating integrated circuits of various operation voltages
App 20060113571 - Liu; Jun-Xiu ;   et al.
2006-06-01
Semiconductor structure and method for integrating SOI devices and bulk devices
App 20060097316 - Chen; Hao-Yu ;   et al.
2006-05-11
Copper interconnect structure with modulated topography and method for forming the same
App 20060099786 - Fan; Su-Chen ;   et al.
2006-05-11
High dynamic range image sensor cell
App 20060092301 - Yaung; Dun-Nian ;   et al.
2006-05-04
ESD protection circuit with adjusted trigger voltage
App 20060092592 - Huang; Shao-Chang
2006-05-04
Semiconductor devices with reduced impact from alien particles
App 20060086989 - Lee; Chung-Jung ;   et al.
2006-04-27
Methods for enhancing die saw and packaging reliability
App 20060055002 - Yao; Chih-Hsiang ;   et al.
2006-03-16
Method to improve the coupling ratio of top gate to floating gate in flash
App 20060046410 - Hsieh; Chia-Ta
2006-03-02
Multiple stage method and system for sensing outputs from memory cells
App 20060028889 - Liaw; Jhon Jhy
2006-02-09
Method and system for merging wafer test results
App 20050278329 - Yang, Keng-Chia ;   et al.
2005-12-15
Whole chip ESD protection
App 20050274990 - Wu, Yi-Hsu ;   et al.
2005-12-15
Self-aligned metal electrode to eliminate native oxide effect for metal insulator semiconductor (MIS) capacitor
App 20050272217 - Chiang, Min-Hsiung ;   et al.
2005-12-08
Novel device structure having enhanced surface adhesion and failure mode analysis
App 20050272260 - Lin, Hway Chi ;   et al.
2005-12-08
Reference sensing circuit
App 20050259470 - Kuo, Cheng-Hsiung
2005-11-24
Method of fabricating a necked finfet device
App 20050253193 - Chen, Haur-Ywh ;   et al.
2005-11-17
Multiple electrical fuss shared with one program device
App 20050249014 - Chung, Shine Chien
2005-11-10
Capacitance process by using passivation film scheme
App 20050250280 - Lin, Chuan Chang ;   et al.
2005-11-10
Effective method to improve sub-micron color filter sensitivity
App 20050242271 - Weng, Fu-Tien ;   et al.
2005-11-03
Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
App 20050245100 - Wu, Zhen-Cheng ;   et al.
2005-11-03
Process for removing organic materials during formation of a metal interconnect
App 20050245082 - Perng, Baw-Ching ;   et al.
2005-11-03
Implementation of protection layer for bond pad protection
App 20050245050 - Tsao, Pei-Haw ;   et al.
2005-11-03
Novel ESD protection scheme for core devices
App 20050237682 - Wu, Yi-Hsun ;   et al.
2005-10-27
Use of C2F6 gas to gain vertical profile in high dosage implanted poly film
App 20050236366 - Liu, Kuo-Chin
2005-10-27
Novel architecture to monitor isolation integrity between floating gate and source line
App 20050239247 - Hsieh, Chang-Jen ;   et al.
2005-10-27
Flash EEPROM with function bit by bit erasing
App 20050232007 - Hsieh, Chia-Ta
2005-10-20
Deep submicron CMOS compatible suspending inductor
App 20050225420 - Chen, Chung-Hui
2005-10-13
Novel test structure for speeding a stress-induced voiding test and method of using same
App 20050224792 - Wang, Chien-Jung
2005-10-13
Method Of Forming A Recessed Buried-diffusion Device
App 20050224873 - Yu, Chiu-Hung ;   et al.
2005-10-13
Post ECP multi-step anneal/H2 treatment to reduce film impurity
App 20050227479 - Feng, Hsien-Ping ;   et al.
2005-10-13
Mechanism for synchronizing manafacturing information systems and document content management systems data
App 20050228765 - Huang, Chen-Chu ;   et al.
2005-10-13
Novel method to simplify twin stage scanner OVL machine matching
App 20050219484 - Chiang, S. J. ;   et al.
2005-10-06
Novel method to fabricate high reliable metal capacitor within copper back-end process
App 20050221575 - Huang, Chi-Feng ;   et al.
2005-10-06
High speed and low power sense amplifier
App 20050213406 - Wang, Chin-Huang
2005-09-29
Method for reducing a short channel effect for NMOS devices in SOI circuits
App 20050215017 - Chen, Hung-Wei ;   et al.
2005-09-29
Thin-oxide devices for high voltage I/O drivers
App 20050212558 - Chung, Shien Chien
2005-09-29
Method to design and verify an integrated circuit device with multiple power domains
App 20050216872 - Sung, Nai-Yin ;   et al.
2005-09-29
Two Step Trench Definition Procedure For Formation Of A Dual Damascene Opening In A Stack Of Insulator Layers
App 20050215051 - Yang, Fu-Kai ;   et al.
2005-09-29
Method to increase coupling ratio of source to floating gate in split-gate flash
App 20050207264 - Hsieh, Chia-Ta ;   et al.
2005-09-22
Calibration standard for critical dimension verification of sub-tenth micron integrated circuit technology
App 20050202675 - Lu, Yu-Hui ;   et al.
2005-09-15
Method of forming polysilicon gate structures with specific edge profiles for optimization of LDD offset spacing
App 20050202642 - Chen, Cheng-Ku ;   et al.
2005-09-15
Scheduling system and method for avoiding low equipment utilization
App 20050203655 - Tsai, Hsin-Chen
2005-09-15
Placement and routing method to reduce Joule heating
App 20050204314 - Hou, Chin-Shan ;   et al.
2005-09-15
Internet historical screen presentation
App 20050203882 - Godley, Hector Stuart
2005-09-15
High voltage device embedded non-volatile memory cell and fabrication method
App 20050194647 - Tsai, Hung-Chih ;   et al.
2005-09-08
Method for making improved bottom electrodes for metal-insulator-metal crown capacitors
App 20050191820 - Tu, Yeur-Luen ;   et al.
2005-09-01
Method of integrating the formation of a shallow junction N channel device with the formation of P channel, ESD and input/output devices
App 20050191802 - Yeh, Yu-Lung ;   et al.
2005-09-01
Chip probing equipment and test modeling for next generation MES (300MM)
App 20050192690 - Yang, Keng-Chia ;   et al.
2005-09-01
Space process to prevent the reverse tunneling in split gate flash
App 20050184331 - Tu, Kuo-Chi ;   et al.
2005-08-25
Seal ring design without stop layer punch through during via etch
App 20050184388 - Shih, Hsin-Ching ;   et al.
2005-08-25
Method for improving the electrical continuity for a silicon-germanium film across a silicon/oxide/polysilicon surface using a novel two-temperature process
App 20050186750 - Lee, Kuen-Chyr ;   et al.
2005-08-25
Underfilling efficiency by modifying the substrate design of flip chips
App 20050178581 - Lee, Hsin-Hui ;   et al.
2005-08-18
Method to form a metal silicide gate device
App 20050179098 - Chan, Bor-Wen ;   et al.
2005-08-18
Non-repeated and non-uniform width seal ring structure
App 20050179213 - Huang, Tai-Chun ;   et al.
2005-08-18
Configurable voltage generator
App 20050174162 - Cheng, Tung-Shuan ;   et al.
2005-08-11
Method of forming metal silicide
App 20050176227 - Wu, Chii-Ming ;   et al.
2005-08-11
Novel Deposition Method For Si-ge Epi Layer On Different Intermediate Substrates
App 20050176229 - Yao, Liang-Gi ;   et al.
2005-08-11
Smart tag holder and cover housing
App 20050167492 - Hsueh, Tsung-Chih ;   et al.
2005-08-04
Enhanced adhesion strength between mold resin and polyimide
App 20050167807 - Chen, Ken ;   et al.
2005-08-04
Integrated capacitor
App 20050168914 - Tang, Denny ;   et al.
2005-08-04
Method for end point detection of polysilicon chemical mechanical polishing in an anti-fuse memory device
App 20050170563 - Ang, Kern-Huat ;   et al.
2005-08-04
Method to improve planarity of electroplated copper
App 20050164495 - Chou, Shih-Wei ;   et al.
2005-07-28
Shared contact for high-density memory cell design
App 20050164493 - Liaw, Jhon-Jhy
2005-07-28
Zirconium oxide and hafnium oxide etching using halogen containing chemicals
App 20050164479 - Perng, Baw-Ching ;   et al.
2005-07-28
Novel method of trimming technology
App 20050164478 - Chan, Bor-Wen ;   et al.
2005-07-28
Memory built-in self test circuit with full error mapping capability
App 20050166111 - Chien, Jinn-Yeh
2005-07-28
Silicide gate transistors and method of manufacture
App 20050156238 - Wen, Cheng-Kuo ;   et al.
2005-07-21
Piezoelectric o-ring transducer
App 20050156487 - Tseng, Ming-Hung ;   et al.
2005-07-21
Center ball O-ring
App 20050155709 - Tzeng, Huan-Liang ;   et al.
2005-07-21
Method to make minimal spacing between floating gates in split gate flash
App 20050156224 - Hsieh, Chia-Ta ;   et al.
2005-07-21
Flash memory cell with a unique split programming channel and reading channel
App 20050156223 - Lu, Hsiang-Tai ;   et al.
2005-07-21
Noble high-k device
App 20050156255 - Yao, Liang-Gi ;   et al.
2005-07-21
Wet bench wafer floating detection system
App 20050158885 - Tseng, Wen-Song ;   et al.
2005-07-21
High temperature hydrogen annealing of a gate insulator layer to increase etching selectivity between conductive gate structure and gate insulator layer
App 20050159008 - Chang, Vincent S. ;   et al.
2005-07-21
Semiconductor chip singulation method
App 20050158967 - Huang, Tai-Chun ;   et al.
2005-07-21
Novel EBR shape of spin-on low-k material providing good film stacking
App 20050151227 - Chen, Yu-Huei ;   et al.
2005-07-14
Method to reduce a capacitor depletion phenomena
App 20050151180 - Chiang, Min-Hsiung
2005-07-14
Novel shallow trench isolation method for reducing oxide thickness variations at different pattern densities
App 20050153519 - Lu, Chih-Cheng ;   et al.
2005-07-14
Method for chemical mechanical polishing of a shallow trench isolation structure
App 20050153555 - Tseng, Tung-Ching ;   et al.
2005-07-14
Single trench repair method with etched quartz for attenuated phase shifting mask
App 20050153214 - Lin, Cheng-Ming
2005-07-14
Novel nitride barrier layer to prevent metal (Cu) leakage issue in a dual damascene structure
App 20050153537 - Cheng, Yi-Lung ;   et al.
2005-07-14

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed