loadpatents
name:-0.58652591705322
name:-0.27565598487854
name:-0.11870789527893
Standaert; Theodorus E. Patent Filings

Standaert; Theodorus E.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Standaert; Theodorus E..The latest application filed is for "selective recessing to form a fully aligned via".

Company Profile
126.200.200
  • Standaert; Theodorus E. - Clifton Park NY
  • Standaert; Theodorus E - Clifton Park NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
External Magnetic Bottom Contact Structure For Mram
App 20220180911 - Zare; Saba ;   et al.
2022-06-09
Selective Recessing To Form A Fully Aligned Via
App 20220181205 - Briggs; Benjamin D. ;   et al.
2022-06-09
Non Volatile Resistive Memory Logic Device
App 20220172776 - Chen; Hsueh-Chung ;   et al.
2022-06-02
Electrode-via structure
Grant 11,302,630 - Standaert , et al. April 12, 2
2022-04-12
Pillar-based Memory Hardmask Smoothing And Stress Reduction
App 20220109099 - Rizzolo; Michael ;   et al.
2022-04-07
Selective recessing to form a fully aligned via
Grant 11,257,717 - Briggs , et al. February 22, 2
2022-02-22
Metal surface preparation for increased alignment contrast
Grant 11,244,907 - Zhou , et al. February 8, 2
2022-02-08
Embedded BEOL memory device with top electrode pillar
Grant 11,239,421 - Kong , et al. February 1, 2
2022-02-01
Bottom conductive structure with a limited top contact area
Grant 11,239,278 - Yang , et al. February 1, 2
2022-02-01
Pillar-based memory hardmask smoothing and stress reduction
Grant 11,223,008 - Rizzolo , et al. January 11, 2
2022-01-11
Bottom electrode for semiconductor memory device
Grant 11,217,742 - Yang , et al. January 4, 2
2022-01-04
Dielectric Retention And Method Of Forming Memory Pillar
App 20210399212 - Zare; Saba ;   et al.
2021-12-23
Transistor having reduced contact resistance
Grant 11,189,693 - Basker , et al. November 30, 2
2021-11-30
Alignment through topography on intermediate component for memory device patterning
Grant 11,177,437 - Tang , et al. November 16, 2
2021-11-16
Bamboo tall via interconnect structures
Grant 11,164,779 - Yang , et al. November 2, 2
2021-11-02
Electrode-via Structure
App 20210320060 - Standaert; Theodorus E. ;   et al.
2021-10-14
Semiconductor structures with deep trench capacitor and methods of manufacture
Grant 11,145,658 - Chan , et al. October 12, 2
2021-10-12
Bottom electrode for semiconductor memory device
Grant 11,145,813 - Yang , et al. October 12, 2
2021-10-12
Embedded Memory Devices
App 20210305494 - Dutta; Ashim ;   et al.
2021-09-30
Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
Grant 11,121,032 - Basker , et al. September 14, 2
2021-09-14
Optimizating Semiconductor Binning By Feed-forward Process Adjustment
App 20210249288 - Briggs; Benjamin D. ;   et al.
2021-08-12
Bottom Conductive Structure With A Limited Top Contact Area
App 20210242278 - Yang; Chih-Chao ;   et al.
2021-08-05
Bevel metal removal using ion beam etch
Grant 11,081,643 - Dutta , et al. August 3, 2
2021-08-03
Embedded BEOL Memory Device with Top Electrode Pillar
App 20210234095 - Kong; Dexin ;   et al.
2021-07-29
Bevel Metal Removal Using Ion Beam Etch
App 20210226120 - Dutta; Ashim ;   et al.
2021-07-22
Metal Surface Preparation For Increased Alignment Contrast
App 20210210434 - Zhou; Tianji ;   et al.
2021-07-08
Semiconductor structures with deep trench capacitor and methods of manufacture
Grant 11,056,493 - Chan , et al. July 6, 2
2021-07-06
Optimizing semiconductor binning by feed-forward process adjustment
Grant 11,049,744 - Briggs , et al. June 29, 2
2021-06-29
Contact via with pillar of alternating layers
Grant 11,031,542 - Yang , et al. June 8, 2
2021-06-08
Pillar-based Memory Hardmask Smoothing And Stress Reduction
App 20210159394 - Rizzolo; Michael ;   et al.
2021-05-27
Embedding Magneto-resistive Random-access Memory Devices Between Metal Levels
App 20210134883 - DUTTA; Ashim ;   et al.
2021-05-06
Metal insulator metal capacitor with extended capacitor plates
Grant 10,998,227 - Yang , et al. May 4, 2
2021-05-04
Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
Grant 10,998,230 - Basker , et al. May 4, 2
2021-05-04
Cobalt interconnect structure including noble metal layer
Grant 10,971,398 - Standaert , et al. April 6, 2
2021-04-06
Replacement metal gate structures
Grant 10,971,601 - Basker , et al. April 6, 2
2021-04-06
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 10,957,581 - Briggs , et al. March 23, 2
2021-03-23
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 10,957,582 - Briggs , et al. March 23, 2
2021-03-23
Selective Recessing To Form A Fully Aligned Via
App 20210082758 - Briggs; Benjamin D. ;   et al.
2021-03-18
Replacement metal gate structures
Grant 10,930,754 - Basker , et al. February 23, 2
2021-02-23
Vertical transistor with a body contact for back-biasing
Grant 10,916,660 - Basker , et al. February 9, 2
2021-02-09
Vertical FET with shaped spacer to reduce parasitic capacitance
Grant 10,903,338 - Wang , et al. January 26, 2
2021-01-26
Sacrificial Buffer Layer For Metal Removal At A Bevel Edge Of A Substrate
App 20210013400 - Dutta; Ashim ;   et al.
2021-01-14
Sacrificial buffer layer for metal removal at a bevel edge of a substrate
Grant 10,892,404 - Dutta , et al. January 12, 2
2021-01-12
Magnetic tunnel junction performance monitoring based on magnetic field coupling
Grant 10,830,841 - Lanzillo , et al. November 10, 2
2020-11-10
Formation of embedded magnetic random-access memory devices with multi-level bottom electrode via contacts
Grant 10,833,257 - Dutta , et al. November 10, 2
2020-11-10
Selective recessing to form a fully aligned via
Grant 10,832,952 - Briggs , et al. November 10, 2
2020-11-10
Capacitors
Grant 10,833,149 - Basker , et al. November 10, 2
2020-11-10
Transistor Having Reduced Contact Resistance
App 20200350403 - Basker; Veeraraghavan S. ;   et al.
2020-11-05
Formation Of Embedded Magnetic Random-access Memory Devices With Multi-level Bottom Electrode Via Contacts
App 20200350494 - Dutta; Ashim ;   et al.
2020-11-05
Contact Via
App 20200350486 - Yang; Chih-Chao ;   et al.
2020-11-05
Copper Metallization Fill
App 20200350201 - Motoyama; Koichi ;   et al.
2020-11-05
Metal-insulator-metal capacitor structure
Grant 10,825,891 - Basker , et al. November 3, 2
2020-11-03
Metal-insulator-metal capacitor structure
Grant 10,825,890 - Basker , et al. November 3, 2
2020-11-03
Bamboo Tall Via Interconnect Structures
App 20200328112 - Yang; Chih-Chao ;   et al.
2020-10-15
Finfet Devices
App 20200328124 - Basker; Veeraraghavan S. ;   et al.
2020-10-15
Magnetic tunnel junction with low series resistance
Grant 10,796,833 - Lanzillo , et al. October 6, 2
2020-10-06
Contact via structures
Grant 10,777,735 - Yang , et al. Sept
2020-09-15
Structures and methods for embedded magnetic random access memory (MRAM) fabrication
Grant 10,770,511 - Clevenger , et al. Sep
2020-09-08
Electrostatic discharge devices and methods of manufacture
Grant 10,748,893 - Bu , et al. A
2020-08-18
Accelerated wafer testing using non-destructive and localized stress
Grant 10,746,782 - Briggs , et al. A
2020-08-18
Accelerated wafer testing using non-destructive and localized stress
Grant 10,739,397 - Briggs , et al. A
2020-08-11
Prevention of switching of spins in magnetic tunnel junctions by on-chip parasitic magnetic shield
Grant 10,720,567 - Briggs , et al.
2020-07-21
Multilayer hardmask for high performance MRAM devices
Grant 10,714,683 - Rizzolo , et al.
2020-07-14
Embedded magnetic tunnel junction pillar having reduced height and uniform contact area
Grant 10,714,681 - Rizzolo , et al.
2020-07-14
Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
Grant 10,707,128 - Basker , et al.
2020-07-07
FinFET devices
Grant 10,699,962 - Basker , et al.
2020-06-30
Dielectric fill for memory pillar elements
Grant 10,692,925 - Rizzolo , et al.
2020-06-23
Replacement metal gate structures
Grant 10,692,989 - Basker , et al.
2020-06-23
Contact via structures
Grant 10,686,124 - Yang , et al.
2020-06-16
Via contact resistance control
Grant 10,685,915 - Yang , et al.
2020-06-16
Multilayer hardmask for high performance MRAM devices
Grant 10,680,169 - Rizzolo , et al.
2020-06-09
Vertical FET with shaped spacer to reduce parasitic capacitance
Grant 10,672,887 - Wang , et al.
2020-06-02
Alignment through topography on intermediate component for memory device patterning
Grant 10,658,589 - Tang , et al.
2020-05-19
Metal Insulator Metal Capacitor With Extended Capacitor Plates
App 20200144113 - Yang; Chih-Chao ;   et al.
2020-05-07
Bottom Electrode For Semiconductor Memory Device
App 20200144498 - Yang; Chih-Chao ;   et al.
2020-05-07
Multilayer Hardmask For High Performance Mram Devices
App 20200144491 - Rizzolo; Michael ;   et al.
2020-05-07
Cobalt Interconnect Structure
App 20200135558 - Standaert; Theodorus E. ;   et al.
2020-04-30
Selective recessing to form a fully aligned via
Grant 10,636,706 - Briggs , et al.
2020-04-28
Embedded Magnetic Tunnel Junction Pillar Having Reduced Height And Uniform Contact Area
App 20200127194 - Rizzolo; Michael ;   et al.
2020-04-23
Dielectric Fill For Memory Pillar Elements
App 20200119089 - Rizzolo; Michael ;   et al.
2020-04-16
Magnetic Tunnel Junction With Low Series Resistance
App 20200098499 - Lanzillo; Nicholas A. ;   et al.
2020-03-26
Improved Bottom Electrode For Semiconductor Memory Device
App 20200098975 - Yang; Chih-Chao ;   et al.
2020-03-26
Replacement Metal Gate Structures
App 20200091314 - BASKER; Veeraraghavan S. ;   et al.
2020-03-19
Replacement metal gate structures
Grant 10,593,779 - Basker , et al.
2020-03-17
Static random access memory (SRAM) density scaling by using middle of line (MOL) flow
Grant 10,593,679 - Basker , et al.
2020-03-17
Alignment Through Topography On Intermediate Component For Memory Device Patterning
App 20200083447 - Tang; Hao ;   et al.
2020-03-12
Vertical Fet With Shaped Spacer To Reduce Parasitic Capacitance
App 20200083347 - Wang; Junli ;   et al.
2020-03-12
Contact Via Structures
App 20200083426 - YANG; Chih-Chao ;   et al.
2020-03-12
Contact Via Structures
App 20200083436 - YANG; Chih-Chao ;   et al.
2020-03-12
Replacement metal gate structures
Grant 10,586,857 - Basker , et al.
2020-03-10
Strained FinFET source drain isloation
Grant 10,586,867 - Cheng , et al.
2020-03-10
Replacement metal gate structures
Grant 10,580,880 - Basker , et al.
2020-03-03
Selective Ion Filtering In A Multipurpose Chamber
App 20200066491 - Clevenger; Lawrence A. ;   et al.
2020-02-27
Replacement metal gate structures
Grant 10,573,726 - Basker , et al. Feb
2020-02-25
Semiconductor Structures With Deep Trench Capacitor And Methods Of Manufacture
App 20200051984 - Chan; Kevin K. ;   et al.
2020-02-13
Bottom electrode for semiconductor memory device
Grant 10,559,751 - Yang , et al. Feb
2020-02-11
Metal insulator metal capacitor with extended capacitor plates
Grant 10,559,649 - Yang , et al. Feb
2020-02-11
Replacement Metal Gate Structures
App 20200027967 - BASKER; Veeraraghavan S. ;   et al.
2020-01-23
Air-gap top spacer and self-aligned metal gate for vertical fets
Grant 10,541,312 - Basker , et al. Ja
2020-01-21
Replacement Metal Gate Structures
App 20200020787 - BASKER; Veeraraghavan S. ;   et al.
2020-01-16
Semiconductor structures including an integrated FinFET with deep trench capacitor and methods of manufacture
Grant 10,535,662 - Chan , et al. Ja
2020-01-14
Alignment Through Topography On Intermediate Component For Memory Device Patterning
App 20200006655 - TANG; Hao ;   et al.
2020-01-02
Multilayer Hardmask For High Performance Mram Devices
App 20190386210 - Rizzolo; Michael ;   et al.
2019-12-19
Semiconductor fins for FinFET devices and sidewall image transfer (SIT) processes for manufacturing the same
Grant 10,504,786 - Basker , et al. Dec
2019-12-10
Selective Ion Filtering In A Multipurpose Chamber
App 20190355555 - Clevenger; Lawrence A. ;   et al.
2019-11-21
Selective ion filtering in a multipurpose chamber
Grant 10,483,091 - Clevenger , et al. Nov
2019-11-19
Metal-insulator-metal Capacitor Structure
App 20190348495 - BASKER; Veeraraghavan S. ;   et al.
2019-11-14
Fabrication Of Self-aligned Gate Contacts And Source/drain Contacts Directly Above Gate Electrodes And Source/drains
App 20190341309 - Basker; Veeraraghavan S. ;   et al.
2019-11-07
Metal Insulator Metal Capacitor With Extended Capacitor Plates
App 20190341307 - Yang; Chih-Chao ;   et al.
2019-11-07
Via Contact Resistance Control
App 20190341298 - Yang; Chih-Chao ;   et al.
2019-11-07
Vertical transport FET devices having air gap top spacer
Grant 10,453,934 - Basker , et al. Oc
2019-10-22
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20190318960 - Briggs; Benjamin D. ;   et al.
2019-10-17
Metal-insulator-metal Capacitor Structure
App 20190312100 - BASKER; Veeraraghavan S. ;   et al.
2019-10-10
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20190311946 - Briggs; Benjamin D. ;   et al.
2019-10-10
Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
Grant 10,418,280 - Basker , et al. Sept
2019-09-17
Semiconductor Structures With Deep Trench Capacitor And Methods Of Manufacture
App 20190279987 - Chan; Kevin K. ;   et al.
2019-09-12
Semiconductor Device Including Dual Trench Epitaxial Dual-liner Contacts
App 20190273027 - Basker; Veeraraghavan S. ;   et al.
2019-09-05
Gate planarity for FinFET using dummy polish stop
Grant 10,403,740 - Basker , et al. Sep
2019-09-03
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 10,395,977 - Briggs , et al. A
2019-08-27
Approach to fabrication of an on-chip resistor with a field effect transistor
Grant 10,396,069 - Basker , et al. A
2019-08-27
Semiconductor device including dual trench epitaxial dual-liner contacts
Grant 10,388,576 - Basker , et al. A
2019-08-20
Metal-insulator-metal capacitor structure
Grant 10,388,718 - Basker , et al. A
2019-08-20
Metal-insulator-metal Capacitor Structure
App 20190252490 - BASKER; Veeraraghavan S. ;   et al.
2019-08-15
Method of forming via contact with resistance control
Grant 10,381,263 - Yang , et al. A
2019-08-13
Replacement Metal Gate Structures
App 20190245059 - BASKER; Veeraraghavan S. ;   et al.
2019-08-08
Method of forming metal insulator metal capacitor with extended capacitor plates
Grant 10,373,866 - Yang , et al.
2019-08-06
Integrating metal-insulator-metal capacitors with air gap process flow
Grant 10,373,905 - Basker , et al.
2019-08-06
Semiconductor structures with deep trench capacitor and methods of manufacture
Grant 10,361,207 - Chan , et al.
2019-07-23
SiGe FINS FORMED ON A SUBSTRATE
App 20190214253 - Basker; Veeraraghavan S. ;   et al.
2019-07-11
Capacitors
App 20190214456 - BASKER; Veeraraghavan S. ;   et al.
2019-07-11
SiGe FINS FORMED ON A SUBSTRATE
App 20190214254 - Basker; Veeraraghavan S. ;   et al.
2019-07-11
Semiconductor Structures With Deep Trench Capacitor And Methods Of Manufacture
App 20190206871 - Chan; Kevin K. ;   et al.
2019-07-04
Fabrication Of Self-aligned Gate Contacts And Source/drain Contacts Directly Above Gate Electrodes And Source/drains
App 20190198394 - Basker; Veeraraghavan S. ;   et al.
2019-06-27
Fabrication Of Self-aligned Gate Contacts And Source/drain Contacts Directly Above Gate Electrodes And Source/drains
App 20190198393 - Basker; Veeraraghavan S. ;   et al.
2019-06-27
Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
Grant 10,332,796 - Basker , et al.
2019-06-25
Contact area to trench silicide resistance reduction by high-resistance interface removal
Grant 10,325,999 - Basker , et al.
2019-06-18
Vertical Fet With Shaped Spacer To Reduce Parasitic Capacitance
App 20190181238 - Wang; Junli ;   et al.
2019-06-13
Finfet Devices
App 20190181049 - BASKER; Veeraraghavan S. ;   et al.
2019-06-13
Replacement Metal Gate Structures
App 20190181242 - BASKER; Veeraraghavan S. ;   et al.
2019-06-13
Integrated magnetic tunnel junction (MTJ) in back end of line (BEOL) interconnects
Grant 10,319,783 - Briggs , et al.
2019-06-11
FinFET devices
Grant 10,319,640 - Basker , et al.
2019-06-11
Metal-insulator-metal capacitor structure
Grant 10,312,318 - Basker , et al.
2019-06-04
Structures And Methods For Embedded Magnetic Random Access Memory (mram) Fabrication
App 20190165042 - Clevenger; Lawrence A. ;   et al.
2019-05-30
Replacement metal gate structures
Grant 10,304,941 - Basker , et al.
2019-05-28
Electrostatic Discharge Devices And Methods Of Manufacture
App 20190157260 - BU; Huiming ;   et al.
2019-05-23
SiGe fins formed on a substrate
Grant 10,297,448 - Basker , et al.
2019-05-21
Precise control of vertical transistor gate length
Grant 10,297,689 - Basker , et al.
2019-05-21
Replacement Metal Gate Structures
App 20190148554 - BASKER; Veeraraghavan S. ;   et al.
2019-05-16
CMOS compatible fuse or resistor using self-aligned contacts
Grant 10,290,633 - Basker , et al.
2019-05-14
Replacement Metal Gate Structures
App 20190140098 - BASKER; Veeraraghavan S. ;   et al.
2019-05-09
Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
Grant 10,283,406 - Basker , et al.
2019-05-07
Capacitors
Grant 10,283,586 - Basker , et al.
2019-05-07
Selective recessing to form a fully aligned via
Grant 10,276,436 - Briggs , et al.
2019-04-30
FinFET devices
Grant 10,276,658 - Basker , et al.
2019-04-30
Optimizating Semiconductor Binning By Feed-forward Process Adjustment
App 20190122911 - Briggs; Benjamin D. ;   et al.
2019-04-25
Semiconductor structures with deep trench capacitor and methods of manufacture
Grant 10,269,806 - Chan , et al.
2019-04-23
Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
Grant 10,269,644 - Basker , et al.
2019-04-23
Semiconductor fins for FinFET devices and sidewall image transfer (SIT) processes for manufacturing the same
Grant 10,249,536 - Basker , et al.
2019-04-02
Method and structure for forming FinFET CMOS with dual doped STI regions
Grant 10,249,537 - Basker , et al.
2019-04-02
Rework of patterned dielectric and metal hardmask films
Grant 10,242,872 - Arnold , et al.
2019-03-26
Structures and methods for embedded magnetic random access memory (MRAM) fabrication
Grant 10,243,020 - Clevenger , et al.
2019-03-26
Approach to fabrication of an on-chip resistor with a field effect transistor
Grant 10,236,289 - Basker , et al.
2019-03-19
FinFET CMOS with silicon fin N-channel FET and silicon germanium fin P-channel FET
Grant 10,236,293 - Basker , et al.
2019-03-19
Replacement metal gate structures
Grant 10,236,359 - Basker , et al.
2019-03-19
Precise control of vertical transistor gate length
Grant 10,236,380 - Basker , et al.
2019-03-19
Electrostatic discharge devices and methods of manufacture
Grant 10,229,905 - Bu , et al.
2019-03-12
FinFET devices
Grant 10,224,247 - Basker , et al.
2019-03-05
Replacement metal gate structures
Grant 10,217,840 - Basker , et al. Feb
2019-02-26
Reducing metallic interconnect resistivity through application of mechanical strain
Grant 10,211,155 - Briggs , et al. Feb
2019-02-19
Finfet Devices
App 20190013247 - BASKER; Veeraraghavan S. ;   et al.
2019-01-10
Replacement metal gate structures
Grant 10,177,256 - Basker , et al. J
2019-01-08
Capacitors
Grant 10,170,540 - Basker , et al. J
2019-01-01
Finfet Devices
App 20180374756 - BASKER; Veeraraghavan S. ;   et al.
2018-12-27
Electrostatic discharge devices and methods of manufacture
Grant 10,157,908 - Bu , et al. Dec
2018-12-18
CMOS compatible fuse or resistor using self-aligned contacts
Grant 10,157,912 - Basker , et al. Dec
2018-12-18
FinFET devices
Grant 10,157,797 - Basker , et al. Dec
2018-12-18
Air-gap Top Spacer And Self-aligned Metal Gate For Vertical Fets
App 20180350939 - Basker; Veeraraghavan S. ;   et al.
2018-12-06
FinFET devices
Grant 10,141,402 - Basker , et al. Nov
2018-11-27
Vertical Transistor With A Body Contact For Back-biasing
App 20180337059 - Basker; Veeraraghavan S. ;   et al.
2018-11-22
Accelerated Wafer Testing Using Non-destructive And Localized Stress
App 20180328977 - Briggs; Benjamin D. ;   et al.
2018-11-15
Accelerated Wafer Testing Using Non-destructive And Localized Stress
App 20180328979 - Briggs; Benjamin D. ;   et al.
2018-11-15
Selective Recessing To Form A Fully Aligned Via
App 20180315654 - Briggs; Benjamin D. ;   et al.
2018-11-01
Selective Recessing To Form A Fully Aligned Via
App 20180315653 - Briggs; Benjamin D. ;   et al.
2018-11-01
Vertical transistor with a body contact for back-biasing
Grant 10,096,484 - Basker , et al. October 9, 2
2018-10-09
Cmos Compatible Fuse Or Resistor Using Self-aligned Contacts
App 20180286856 - Basker; Veeraraghavan S. ;   et al.
2018-10-04
Semiconductor Structures With Deep Trench Capacitor And Methods Of Manufacture
App 20180286866 - Chan; Kevin K. ;   et al.
2018-10-04
Air-gap top spacer and self-aligned metal gate for vertical fets
Grant 10,090,411 - Basker , et al. October 2, 2
2018-10-02
Precise Control Of Vertical Transistor Gate Length
App 20180277676 - Basker; Veeraraghavan S. ;   et al.
2018-09-27
Rework Of Patterned Dielectric And Metal Hardmask Films
App 20180277369 - Arnold; John C. ;   et al.
2018-09-27
Reducing Metallic Interconnect Resistivity Through Application Of Mechanical Strain
App 20180277482 - Briggs; Benjamin D. ;   et al.
2018-09-27
Replacement Metal Gate Structures
App 20180269309 - BASKER; Veeraraghavan S. ;   et al.
2018-09-20
Prevention of Switching of Spins in Magnetic Tunnel Junctions by On-Chip Parasitic Magnetic Shield
App 20180269383 - Briggs; Benjamin D. ;   et al.
2018-09-20
Replacement Metal Gate Structures
App 20180269326 - BASKER; Veeraraghavan S. ;   et al.
2018-09-20
FinFET CMOS with silicon fin n-channel FET and silicon germanium fin p-channel FET
Grant 10,079,232 - Basker , et al. September 18, 2
2018-09-18
Fin field-effect transistor (FinFET) with reduced parasitic capacitance
Grant 10,062,785 - Basker , et al. August 28, 2
2018-08-28
Via and chamfer control for advanced interconnects
Grant 10,062,605 - Mignot , et al. August 28, 2
2018-08-28
Gate stack integrated metal resistors
Grant 10,056,366 - Basker , et al. August 21, 2
2018-08-21
Replacement metal gate structures
Grant 10,056,489 - Basker , et al. August 21, 2
2018-08-21
Gate stack integrated metal resistors
Grant 10,056,367 - Basker , et al. August 21, 2
2018-08-21
Integrating Metal-insulator-metal Capacitors With Air Gap Process Flow
App 20180233446 - Basker; Veeraraghavan S. ;   et al.
2018-08-16
Replacement Metal Gate Structures
App 20180233581 - BASKER; Veeraraghavan S. ;   et al.
2018-08-16
Reduced tip-to-tip and via pitch at line end
Grant 10,049,920 - Anderson , et al. August 14, 2
2018-08-14
Precise control of vertical transistor gate length
Grant 10,050,141 - Basker , et al. August 14, 2
2018-08-14
Semiconductor structures with deep trench capacitor and methods of manufacture
Grant 10,050,039 - Chan , et al. August 14, 2
2018-08-14
Replacement metal gate structures
Grant 10,050,121 - Basker , et al. August 14, 2
2018-08-14
Semiconductor Structures With Deep Trench Capacitor And Methods Of Manufacture
App 20180225405 - Chan; Kevin K. ;   et al.
2018-08-09
Semiconductor structures with deep trench capacitor and methods of manufacture
Grant 10,042,968 - Chan , et al. August 7, 2
2018-08-07
Semiconductor fins for finFET devices and sidewall image transfer (SIT) processes for manufacturing the same
Grant 10,037,916 - Basker , et al. July 31, 2
2018-07-31
Fabrication Of Self-aligned Gate Contacts And Source/drain Contacts Directly Above Gate Electrodes And Source/drains
App 20180211874 - Basker; Veeraraghavan S. ;   et al.
2018-07-26
Fabrication Of Self-aligned Gate Contacts And Source/drain Contacts Directly Above Gate Electrodes And Source/drains
App 20180211875 - Basker; Veeraraghavan S. ;   et al.
2018-07-26
Cmos compatible fuse or resistor using self-aligned contacts
Grant 10,032,769 - Basker , et al. July 24, 2
2018-07-24
Integrating metal-insulator-metal capacitors with air gap process flow
Grant 10,032,711 - Basker , et al. July 24, 2
2018-07-24
Approach To Fabrication Of An On-chip Resistor With A Field Effect Transistor
App 20180204832 - Basker; Veeraraghavan S. ;   et al.
2018-07-19
Approach To Fabrication Of An On-chip Resistor With A Field Effect Transistor
App 20180204834 - Basker; Veeraraghavan S. ;   et al.
2018-07-19
Integrated Magnetic Tunnel Junction (mtj) In Back End Of Line (beol) Interconnects
App 20180197915 - Briggs; Benjamin D. ;   et al.
2018-07-12
FinFET CMOS WITH SILICON FIN N-CHANNEL FET AND SILICON GERMANIUM FIN P-CHANNEL FET
App 20180197860 - Basker; Veeraraghavan S ;   et al.
2018-07-12
Reduced tip-to-tip and via pitch at line end
Grant 10,020,223 - Anderson , et al. July 10, 2
2018-07-10
FinFET devices
Grant 10,014,221 - Basker , et al. July 3, 2
2018-07-03
Gate structure cut after formation of epitaxial active regions
Grant 10,008,415 - Cai , et al. June 26, 2
2018-06-26
Self-forming barrier for subtractive copper
Grant 10,008,449 - Standaert , et al. June 26, 2
2018-06-26
Contact Area To Trench Silicide Resistance Reduction By High-resistance Interface Removal
App 20180158923 - Basker; Veeraraghavan S. ;   et al.
2018-06-07
Prevention of switching of spins in magnetic tunnel junctions by on-chip parasitic magnetic shield
Grant 9,985,199 - Briggs , et al. May 29, 2
2018-05-29
Strained Finfet Source Drain Isolation
App 20180145178 - Cheng; Kangguo ;   et al.
2018-05-24
Contact area to trench silicide resistance reduction by high-resistance interface removal
Grant 9,966,454 - Basker , et al. May 8, 2
2018-05-08
Static Random Access Memory (sram) Density Scaling By Using Middle Of Line (mol) Flow
App 20180114792 - Basker; Veeraraghavan S. ;   et al.
2018-04-26
Strained FinFET source drain isolation
Grant 9,954,107 - Cheng , et al. April 24, 2
2018-04-24
FinFET CMOS with silicon fin N-channel FET and silicon germanium fin P-channel FET
Grant 9,947,663 - Basker , et al. April 17, 2
2018-04-17
Gate planarity for FinFET using dummy polish stop
Grant 9,941,392 - Basker , et al. April 10, 2
2018-04-10
Air-gap top spacer and self-aligned metal gate for vertical FETs
Grant 9,941,378 - Basker , et al. April 10, 2
2018-04-10
Reducing metallic interconnect resistivity through application of mechanical strain
Grant 9,941,211 - Briggs , et al. April 10, 2
2018-04-10
Via And Chamfer Control For Advanced Interconnects
App 20180096887 - Mignot; Yann A.M. ;   et al.
2018-04-05
Electrostatic Discharge Devices And Methods Of Manufacture
App 20180090484 - BU; Huiming ;   et al.
2018-03-29
Finfet Devices
App 20180090567 - BASKER; Veeraraghavan S. ;   et al.
2018-03-29
Method And Structure For Forming Finfet Cmos With Dual Doped Sti Regions
App 20180082904 - Basker; Veeraraghavan S. ;   et al.
2018-03-22
Finfet Devices
App 20180076094 - BASKER; Veeraraghavan S. ;   et al.
2018-03-15
FinFET CMOS WITH SILICON FIN N-CHANNEL FET AND SILICON GERMANIUM FIN P-CHANNEL FET
App 20180076200 - Basker; Veeraraghavan S. ;   et al.
2018-03-15
FinFET CMOS WITH SILICON FIN N-CHANNEL FET AND SILICON GERMANIUM FIN P-CHANNEL FET
App 20180076202 - Basker; Veeraraghavan S. ;   et al.
2018-03-15
Integrated magnetic tunnel junction (MTJ) in back end of line (BEOL) interconnects
Grant 9,917,137 - Briggs , et al. March 13, 2
2018-03-13
Approach to fabrication of an on-chip resistor with a field effect transistor
Grant 9,917,082 - Basker , et al. March 13, 2
2018-03-13
Replacement Metal Gate Structures
App 20180061965 - BASKER; Veeraraghavan S. ;   et al.
2018-03-01
Method and structure for forming FinFET CMOS with dual doped STI regions
Grant 9,905,469 - Basker , et al. February 27, 2
2018-02-27
Replacement Metal Gate Structures
App 20180053854 - BASKER; Veeraraghavan S. ;   et al.
2018-02-22
On Chip MIM Capacitor
App 20180047806 - Cheng; Kangguo ;   et al.
2018-02-15
Replacement Metal Gate Structures
App 20180047827 - BASKER; Veeraraghavan S. ;   et al.
2018-02-15
On chip MIM capacitor
Grant 9,893,145 - Cheng , et al. February 13, 2
2018-02-13
Selective Recessing To Form A Fully Aligned Via
App 20180040510 - Briggs; Benjamin D. ;   et al.
2018-02-08
Static random access memory (SRAM) density scaling by using middle of line (MOL) flow
Grant 9,881,926 - Basker , et al. January 30, 2
2018-01-30
Integrating Metal-insulator-metal Capacitors With Air Gap Process Flow
App 20180025974 - Basker; Veeraraghavan S. ;   et al.
2018-01-25
CMOS compatible fuse or resistor using self-aligned contacts
Grant 9,876,009 - Basker , et al. January 23, 2
2018-01-23
Replacement metal gate structures
Grant 9,871,116 - Basker , et al. January 16, 2
2018-01-16
Replacement metal gate structures
Grant 9,865,739 - Basker , et al. January 9, 2
2018-01-09
Semiconductor Device Including Dual Trench Epitaxial Dual-liner Contacts
App 20180005903 - Basker; Veeraraghavan S. ;   et al.
2018-01-04
Fin Pitch Scaling For High Voltage Devices And Low Voltage Devices On The Same Wafer
App 20170372968 - Basker; Veeraraghavan S. ;   et al.
2017-12-28
MIM capacitor formation in RMG module
Grant 9,853,022 - Basker , et al. December 26, 2
2017-12-26
Precise Control Of Vertical Transistor Gate Length
App 20170358675 - Basker; Veeraraghavan S. ;   et al.
2017-12-14
Air-gap Top Spacer And Self-aligned Metal Gate For Vertical Fets
App 20170330951 - Basker; Veeraraghavan S. ;   et al.
2017-11-16
Air-gap Top Spacer And Self-aligned Metal Gate For Vertical Fets
App 20170330965 - Basker; Veeraraghavan S. ;   et al.
2017-11-16
Cmos Compatible Fuse Or Resistor Using Self-aligned Contacts
App 20170330875 - Basker; Veeraraghavan S. ;   et al.
2017-11-16
Precise Control Of Vertical Transistor Gate Length
App 20170323967 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Vertical Transistor With A Body Contact For Back-biasing
App 20170323948 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Precise Control Of Vertical Transistor Gate Length
App 20170323968 - Basker; Veeraraghavan S. ;   et al.
2017-11-09
Precise control of vertical transistor gate length
Grant 9,812,567 - Basker , et al. November 7, 2
2017-11-07
Gate Planarity For Finfet Using Dummy Polish Stop
App 20170309729 - Basker; Veeraraghavan S. ;   et al.
2017-10-26
Fin Pitch Scaling For High Voltage Devices And Low Voltage Devices On The Same Wafer
App 20170301671 - Basker; Veeraraghavan S. ;   et al.
2017-10-19
FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
Grant 9,793,175 - Basker , et al. October 17, 2
2017-10-17
Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
Grant 9,786,563 - Basker , et al. October 10, 2
2017-10-10
Fin pitch scaling for high voltage devices and low voltage devices on the same wafer
Grant 9,780,091 - Basker , et al. October 3, 2
2017-10-03
Electrostatic Discharge Devices And Methods Of Manufacture
App 20170263601 - BU; Huiming ;   et al.
2017-09-14
FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
Grant 9,761,500 - Basker , et al. September 12, 2
2017-09-12
Field effect transistor contacts
Grant 9,761,496 - Basker , et al. September 12, 2
2017-09-12
Self-forming Barrier For Subtractive Copper
App 20170256498 - Standaert; Theodorus E. ;   et al.
2017-09-07
FIN FIELD-EFFECT TRANSISTOR (FinFET) WITH REDUCED PARASITIC CAPACITANCE
App 20170236933 - Basker; Veeraraghavan S. ;   et al.
2017-08-17
Replacement Metal Gate Structures
App 20170236938 - BASKER; Veeraraghavan S. ;   et al.
2017-08-17
Replacement Metal Gate Structures
App 20170236918 - BASKER; Veeraraghavan S. ;   et al.
2017-08-17
Air-gap top spacer and self-aligned metal gate for vertical fets
Grant 9,735,246 - Basker , et al. August 15, 2
2017-08-15
Fin field-effect transistor (FinFET) with reduced parasitic capacitance
Grant 9,716,042 - Basker , et al. July 25, 2
2017-07-25
Gate Planarity For Finfet Using Dummy Polish Stop
App 20170200714 - Basker; Veeraraghavan S. ;   et al.
2017-07-13
Electrostatic discharge devices and methods of manufacture
Grant 9,704,848 - Bu , et al. July 11, 2
2017-07-11
Semiconductor Fins For Finfet Devices And Sidewall Image Transfer (sit) Processes For Manufacturing The Same
App 20170194207 - Basker; Veeraraghavan S. ;   et al.
2017-07-06
Semiconductor Fins For Finfet Devices And Sidewall Image Transfer (sit) Processes For Manufacturing The Same
App 20170194462 - Basker; Veeraraghavan S. ;   et al.
2017-07-06
Fin Field-effect Transistor (finfet) With Reduced Parasitic Capacitance
App 20170194436 - Basker; Veeraraghavan S. ;   et al.
2017-07-06
Semiconductor Fins For Finfet Devices And Sidewall Image Transfer (sit) Processes For Manufacturing The Same
App 20170194358 - Basker; Veeraraghavan S. ;   et al.
2017-07-06
MIM capacitor formation in RMG module
Grant 9,698,215 - Basker , et al. July 4, 2
2017-07-04
Three-dimensional metal resistor formation
Grant 9,698,212 - Basker , et al. July 4, 2
2017-07-04
Replacement metal gate structures
Grant 9,691,877 - Basker , et al. June 27, 2
2017-06-27
Via and chamfer control for advanced interconnects
Grant 9,691,659 - Mignot , et al. June 27, 2
2017-06-27
Replacement metal gate structures
Grant 9,685,532 - Basker , et al. June 20, 2
2017-06-20
FinFET devices
Grant 9,685,507 - Basker , et al. June 20, 2
2017-06-20
Contact Area To Trench Silicide Resistance Reduction By High-resistance Interface Removal
App 20170170290 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Cmos Compatible Fuse Or Resistor Using Self-aligned Contacts
App 20170170169 - Basker; Veeraraghavan S. ;   et al.
2017-06-15
Three-dimensional Metal Resistor Formation
App 20170154950 - Basker; Veeraraghavan S. ;   et al.
2017-06-01
SiGe FINS FORMED ON A SUBSTRATE
App 20170154788 - Basker; Veeraraghavan S. ;   et al.
2017-06-01
Airgap formation between source/drain contacts and gates
Grant 9,666,533 - Basker , et al. May 30, 2
2017-05-30
Fin Pitch Scaling For High Voltage Devices And Low Voltage Devices On The Same Wafer
App 20170148681 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
Fin Pitch Scaling For High Voltage Devices And Low Voltage Devices On The Same Wafer
App 20170148788 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
Finfet With Post-rmg Gate Cut
App 20170148682 - Basker; Veeraraghavan S. ;   et al.
2017-05-25
Gate Stack Integrated Metal Resistors
App 20170140993 - Basker; Veeraraghavan S. ;   et al.
2017-05-18
Finfet Devices
App 20170140995 - BASKER; Veeraraghavan S. ;   et al.
2017-05-18
Gate Structure Cut After Formation Of Epitaxial Active Regions
App 20170140994 - Cai; Xiuyu ;   et al.
2017-05-18
Capacitors
App 20170141184 - BASKER; Veeraraghavan S. ;   et al.
2017-05-18
Gate Stack Integrated Metal Resistors
App 20170141102 - Basker; Veeraraghavan S. ;   et al.
2017-05-18
MIM capacitor formation in RMG module
Grant 9,653,456 - Basker , et al. May 16, 2
2017-05-16
Vertical transistor with a body contact for back-biasing
Grant 9,653,575 - Basker , et al. May 16, 2
2017-05-16
Mim Capacitor Formation In Rmg Module
App 20170125511 - Basker; Veeraraghavan S. ;   et al.
2017-05-04
Semiconductor Structures With Deep Trench Capacitor And Methods Of Manufacture
App 20170117281 - Chan; Kevin K. ;   et al.
2017-04-27
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20170117177 - Briggs; Benjamin D. ;   et al.
2017-04-27
Gate planarity for FinFET using dummy polish stop
Grant 9,634,005 - Basker , et al. April 25, 2
2017-04-25
Gate structure cut after formation of epitaxial active regions
Grant 9,633,906 - Cai , et al. April 25, 2
2017-04-25
CMOS compatible fuse or resistor using self-aligned contacts
Grant 9,627,373 - Basker , et al. April 18, 2
2017-04-18
FinFET devices
Grant 9,613,869 - Basker , et al. April 4, 2
2017-04-04
Capacitors
Grant 9,607,943 - Basker , et al. March 28, 2
2017-03-28
Gate Planarity For Finfet Using Dummy Polish Stop
App 20170084612 - Basker; Veeraraghavan S. ;   et al.
2017-03-23
Gate Planarity For Finfet Using Dummy Polish Stop
App 20170084724 - Basker; Veeraraghavan S. ;   et al.
2017-03-23
Metal-insulator-metal Capacitor Structure
App 20170084681 - BASKER; Veeraraghavan S. ;   et al.
2017-03-23
Metal-insulator-metal Capacitor Structure
App 20170084683 - BASKER; Veeraraghavan S. ;   et al.
2017-03-23
Metal-insulator-metal Capacitor Structure
App 20170084684 - BASKER; Veeraraghavan S. ;   et al.
2017-03-23
Semiconductor fins for FinFET devices and sidewall image transfer (SIT) processes for manufacturing the same
Grant 9,601,378 - Basker , et al. March 21, 2
2017-03-21
Undercut insulating regions for silicon-on-insulator device
Grant 9,595,578 - Cheng , et al. March 14, 2
2017-03-14
Method And Structure For Forming Finfet Cmos With Dual Doped Sti Regions
App 20170069541 - Basker; Veeraraghavan S. ;   et al.
2017-03-09
Method And Structure For Forming Finfet Cmos With Dual Doped Sti Regions
App 20170069631 - Basker; Veeraraghavan S. ;   et al.
2017-03-09
Cmos Compatible Fuse Or Resistor Using Self-aligned Contacts
App 20170062413 - Basker; Veeraraghavan S. ;   et al.
2017-03-02
Cmos Compatible Fuse Or Resistor Using Self-aligned Contacts
App 20170062409 - Basker; Veeraraghavan S. ;   et al.
2017-03-02
Method And Structure For Forming Finfet Cmos With Dual Doped Sti Regions
App 20170062427 - Basker; Veeraraghavan S. ;   et al.
2017-03-02
Finfet Devices Having Gate Dielectric Structures With Different Thicknesses On Same Semiconductor Structure
App 20170053840 - Basker; Veeraraghavan S. ;   et al.
2017-02-23
Finfet Devices Having Gate Dielectric Structures With Different Thicknesses On Same Semiconductor Structure
App 20170053941 - Basker; Veeraraghavan S. ;   et al.
2017-02-23
Finfet Devices Having Gate Dielectric Structures With Different Thicknesses On Same Semiconductor Structure
App 20170053834 - Basker; Veeraraghavan S. ;   et al.
2017-02-23
Semiconductor structures including an integrated finFET with deep trench capacitor and methods of manufacture
Grant 9,576,096 - Chan , et al. February 21, 2
2017-02-21
FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
Grant 9,576,980 - Basker , et al. February 21, 2
2017-02-21
Gate stack integrated metal resistors
Grant 9,570,571 - Basker , et al. February 14, 2
2017-02-14
Mim Capacitor Formation In Rmg Module
App 20170040412 - Basker; Veeraraghavan S. ;   et al.
2017-02-09
Mim Capacitor Formation In Rmg Module
App 20170040314 - Basker; Veeraraghavan S. ;   et al.
2017-02-09
Method and structure for forming FinFET CMOS with dual doped STI regions
Grant 9,564,437 - Basker , et al. February 7, 2
2017-02-07
Field Effect Transistor Contacts
App 20170033016 - Basker; Veeraraghavan S. ;   et al.
2017-02-02
Gate structure cut after formation of epitaxial active regions
Grant 9,559,009 - Cai , et al. January 31, 2
2017-01-31
Semiconductor Structures With Deep Trench Capacitor And Methods Of Manufacture
App 20170025418 - Chan; Kevin K. ;   et al.
2017-01-26
Self aligned via and pillar cut for at least a self aligned double pitch
Grant 9,548,243 - Briggs , et al. January 17, 2
2017-01-17
Self Aligned Via And Pillar Cut For At Least A Self Aligned Double Pitch
App 20170004996 - Briggs; Benjamin D. ;   et al.
2017-01-05
Undercut Insulating Regions For Silicon-on-insulator Device
App 20170005167 - Cheng; Kangguo ;   et al.
2017-01-05
Self-forming Barrier For Subtractive Copper
App 20170005039 - Standaert; Theodorus E. ;   et al.
2017-01-05
Replacement Metal Gate Structures
App 20160380070 - BASKER; Veeraraghavan S. ;   et al.
2016-12-29
Finfet Devices
App 20160380051 - BASKER; Veeraraghavan S. ;   et al.
2016-12-29
Finfet Devices
App 20160379892 - BASKER; Veeraraghavan S. ;   et al.
2016-12-29
Finfet Devices
App 20160379887 - BASKER; Veeraraghavan S. ;   et al.
2016-12-29
Method and structure for forming FinFET CMOS with dual doped STI regions
Grant 9,530,698 - Basker , et al. December 27, 2
2016-12-27
Capacitors
App 20160365312 - BASKER; Veeraraghavan S. ;   et al.
2016-12-15
Capacitors
App 20160365314 - BASKER; Veeraraghavan S. ;   et al.
2016-12-15
Semiconductor Fins For Finfet Devices And Sidewall Image Transfer (sit) Processes For Manufacturing The Same
App 20160365286 - Basker; Veeraraghavan S. ;   et al.
2016-12-15
Method and structure for forming gate contact above active area with trench silicide
Grant 9,508,825 - Basker , et al. November 29, 2
2016-11-29
Method and structure for forming gate contact above active area with trench silicide
Grant 9,508,818 - Basker , et al. November 29, 2
2016-11-29
Strained Finfet Source Drain Isolation
App 20160329429 - Cheng; Kangguo ;   et al.
2016-11-10
MIM capacitor formation in RMG module
Grant 9,490,252 - Basker , et al. November 8, 2
2016-11-08
Gate planarity for finFET using dummy polish stop
Grant 9,490,253 - Basker , et al. November 8, 2
2016-11-08
Field effect transistor contacts
Grant 9,484,264 - Basker , et al. November 1, 2
2016-11-01
Electrostatic Discharge Devices And Methods Of Manufacture
App 20160315076 - BU; Huiming ;   et al.
2016-10-27
Undercut insulating regions for silicon-on-insulator device
Grant 9,472,616 - Cheng , et al. October 18, 2
2016-10-18
Replacement Metal Gate Structures
App 20160284817 - BASKER; Veeraraghavan S. ;   et al.
2016-09-29
Self-forming barrier for subtractive copper
Grant 9,449,874 - Standaert , et al. September 20, 2
2016-09-20
Electrostatic discharge devices and methods of manufacture
Grant 9,425,184 - Bu , et al. August 23, 2
2016-08-23
Forming isolated fins from a substrate
Grant 9,418,902 - Cheng , et al. August 16, 2
2016-08-16
Integrated passive devices for finFET technologies
Grant 9,406,665 - Adam , et al. August 2, 2
2016-08-02
FinFET device
Grant 9,406,570 - Cheng , et al. August 2, 2
2016-08-02
Reduced External Resistance Finfet Device
App 20160196973 - Cheng; Kangguo ;   et al.
2016-07-07
Spacer replacement for replacement metal gate semiconductor devices
Grant 9,373,697 - Mehta , et al. June 21, 2
2016-06-21
Reduced external resistance finFET device
Grant 9,368,343 - Cheng , et al. June 14, 2
2016-06-14
Hybrid Orientation Fin Field Effect Transistor And Planar Field Effect Transistor
App 20160126352 - Cheng; Kangguo ;   et al.
2016-05-05
Selectively grown self-aligned fins for deep isolation integration
Grant 9,293,375 - Petrarca , et al. March 22, 2
2016-03-22
Electrostatic discharge devices and methods of manufacture
Grant 9,281,303 - Bu , et al. March 8, 2
2016-03-08
Hybrid orientation fin field effect transistor and planar field effect transistor
Grant 9,275,911 - Cheng , et al. March 1, 2
2016-03-01
Method and structure for robust finFET replacement metal gate integration
Grant 9,269,792 - Cheng , et al. February 23, 2
2016-02-23
FinFET and fin-passive devices
Grant 9,263,449 - Cheng , et al. February 16, 2
2016-02-16
Manufacturing process for finFET device
Grant 9,257,350 - Cheng , et al. February 9, 2
2016-02-09
Electrostatic Discharge Devices And Methods Of Manufacture
App 20160035718 - BU; Huiming ;   et al.
2016-02-04
Gate Structure Cut After Formation Of Epitaxial Active Regions
App 20160027700 - Cai; Xiuyu ;   et al.
2016-01-28
Uniform finFET gate height
Grant 9,245,965 - Haran , et al. January 26, 2
2016-01-26
Undercut Insulating Regions For Silicon-on-insulator Device
App 20160013269 - Cheng; Kangguo ;   et al.
2016-01-14
Passive devices for FinFET integrated circuit technologies
Grant 9,236,398 - Clark, Jr. , et al. January 12, 2
2016-01-12
Fin capacitor employing sidewall image transfer
Grant 9,224,654 - Cheng , et al. December 29, 2
2015-12-29
Undercut insulating regions for silicon-on-insulator device
Grant 9,214,378 - Cheng , et al. December 15, 2
2015-12-15
Finfet And Fin-passive Devices
App 20150357331 - Cheng; Kangguo ;   et al.
2015-12-10
Method And Structure For Robust Finfet Replacement Metal Gate Integration
App 20150357440 - Cheng; Kangguo ;   et al.
2015-12-10
Electrostatic Discharge Devices And Methods Of Manufacture
App 20150348958 - BU; Huiming ;   et al.
2015-12-03
Finfet And Fin-passive Devices
App 20150325572 - Cheng; Kangguo ;   et al.
2015-11-12
Selectively Grown Self-aligned Fins For Deep Isolation Integration
App 20150311121 - Petrarca; Kevin S. ;   et al.
2015-10-29
Finfet Device
App 20150235909 - Cheng; Kangguo ;   et al.
2015-08-20
Finfet Device
App 20150228672 - Cheng; Kangguo ;   et al.
2015-08-13
Integrated Passive Devices For Finfet Technologies
App 20150221631 - Adam; Thomas N. ;   et al.
2015-08-06
Gate Structure Cut After Formation Of Epitaxial Active Regions
App 20150214219 - Cai; Xiuyu ;   et al.
2015-07-30
Fin Isolation In Multi-gate Field Effect Transistors
App 20150171164 - Cheng; Kangguo ;   et al.
2015-06-18
Transistors Having Multiple Lateral Channel Dimensions
App 20150145042 - Bu; Huiming ;   et al.
2015-05-28
finFET Isolation by Selective Cyclic Etch
App 20150145065 - Kanakasabapathy; Sivananda K. ;   et al.
2015-05-28
Fin Capacitor Employing Sidewall Image Transfer
App 20150145008 - Cheng; Kangguo ;   et al.
2015-05-28
Semiconductor Structures With Deep Trench Capacitor And Methods Of Manufacture
App 20150135156 - Chan; Kevin K. ;   et al.
2015-05-14
Reducing Gate Height Variation In Rmg Process
App 20150111373 - Cote; William J. ;   et al.
2015-04-23
Forming Isolated Fins From A Substrate
App 20150102409 - Cheng; Kangguo ;   et al.
2015-04-16
Finfet With Self-aligned Punchthrough Stopper
App 20150054033 - Cheng; Kangguo ;   et al.
2015-02-26
Passive Devices For Finfet Integrated Circuit Technologies
App 20150054027 - Clark, JR.; William F. ;   et al.
2015-02-26
Semiconductor Structures With Deep Trench Capacitor And Methods Of Manufacture
App 20150021610 - Chan; Kevin K. ;   et al.
2015-01-22
Spacer Replacement For Replacement Metal Gate Semiconductor Devices
App 20150024568 - Mehta; Sanjay C. ;   et al.
2015-01-22
Patterning Fins And Planar Areas In Silicon
App 20150014772 - Cheng; Kangguo ;   et al.
2015-01-15

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed