name:-0.055139064788818
name:-0.054481983184814
name:-0.02184796333313
SambaNova Systems, Inc. Patent Filings

SambaNova Systems, Inc.

Patent Applications and Registrations

Patent applications and USPTO patent grants for SambaNova Systems, Inc..The latest application filed is for "three-piece universal rail kit for mounting a device to a rack".

Company Profile
43.93.112
  • SambaNova Systems, Inc. - Palo Alto CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Trademarks
Patent Activity
PatentDate
Lossless Tiling in Convolution Networks - Section Boundaries
App 20220309319 - NAMA; Tejas Nagendra Babu ;   et al.
2022-09-29
Floating Point Multiply-add, Accumulate Unit With Exception Processing
App 20220308834 - Oklobdzija; Vojin G. ;   et al.
2022-09-29
Lossless Tiling In Convolution Networks - Backward Pass
App 20220309027 - NAMA; Tejas Nagendra Babu ;   et al.
2022-09-29
Lossless Tiling in Convolution Networks - Tiling Configuration for a Sequence of Sections of a Graph
App 20220309316 - NAMA; Tejas Nagendra Babu ;   et al.
2022-09-29
Lossless Tiling in Convolution Networks - Padding and Re-Tilling at Section Boundaries
App 20220309318 - NAMA; Tejas Nagendra Babu ;   et al.
2022-09-29
Lossless Tiling In Convolution Networks - Resetting Overlap Factor To Zero At Section Boundaries
App 20220309325 - NAMA; Tejas Nagendra Babu ;   et al.
2022-09-29
Lossless Tiling In Convolution Networks - Data Flow Logic
App 20220309323 - NAMA; Tejas Nagendra Babu ;   et al.
2022-09-29
Interconnect-based Resource Allocation For Reconfigurable Processors
App 20220308935 - SHENBAGAM; Raghunath ;   et al.
2022-09-29
Lossless Tiling in Convolution Networks - Tiling Configuration Between Two Sections
App 20220309317 - NAMA; Tejas Nagendra Babu ;   et al.
2022-09-29
Tensor Partitioning and Partition Access Order
App 20220309029 - PRABHAKAR; Raghu ;   et al.
2022-09-29
Lossless Tiling In Convolution Networks - Graph Metadata Generation
App 20220309324 - NAMA; Tejas Nagendra Babu ;   et al.
2022-09-29
Lossless Tiling In Convolution Networks - Section Cuts
App 20220309322 - NAMA; Tejas Nagendra Babu ;   et al.
2022-09-29
Lossless Tiling in Convolution Networks - Materialization of Tensors
App 20220309028 - NAMA; Tejas Nagendra Babu ;   et al.
2022-09-29
Three-piece Universal Rail Kit For Mounting A Device To A Rack
App 20220312626 - KIELY; Jeffrey ;   et al.
2022-09-29
Built-in self-test for processor unit with combined memory and logic
Grant 11,449,404 - Ziaja , et al. September 20, 2
2022-09-20
Sparse matrix multiplier in hardware and a reconfigurable data processor including same
Grant 11,443,014 - Wang , et al. September 13, 2
2022-09-13
Method and circuit for scan dump of latch array
Grant 11,443,823 - Ziaja , et al. September 13, 2
2022-09-13
Method and circuit for row scannable latch array
Grant 11,443,822 - Ziaja , et al. September 13, 2
2022-09-13
Floating point multiply-add, accumulate unit with exception processing
Grant 11,442,696 - Oklobdzija , et al. September 13, 2
2022-09-13
Array of processor units with local BIST
Grant 11,428,737 - Ziaja , et al. August 30, 2
2022-08-30
Floating point multiply-add, accumulate unit with carry-save accumulator
Grant 11,429,349 - Oklobdzija , et al. August 30, 2
2022-08-30
Time-Multiplexed use of Reconfigurable Hardware
App 20220269534 - MISRA; Anand ;   et al.
2022-08-25
Calculating a Floating-Point Function using Multiple Lookup Tables
App 20220261220 - WANG; Mingran ;   et al.
2022-08-18
Instrumentation Networks for Data Flow Graphs
App 20220261365 - PRABHAKAR; Raghu ;   et al.
2022-08-18
Compile Time Instrumentation of Data Flow Graphs
App 20220261364 - PRABHAKAR; Raghu ;   et al.
2022-08-18
Routing circuits for defect repair for a reconfigurable data processor
Grant 11,409,540 - Grohoski , et al. August 9, 2
2022-08-09
Performance estimation-based resource allocation for reconfigurable architectures
Grant 11,410,027 - Chen , et al. August 9, 2
2022-08-09
Dataflow function offload to reconfigurable processors
Grant 11,392,740 - Raumann , et al. July 19, 2
2022-07-19
Control flow barrier and reconfigurable data processor
Grant 11,386,038 - Prabhakar , et al. July 12, 2
2022-07-12
Inter-node Execution Of Configuration Files On Reconfigurable Processors Using Smart Network Interface Controller (smartnic) Buffers
App 20220197712 - SIVARAMAKRISHNAN; Ram ;   et al.
2022-06-23
Inter-processor Execution Of Configuration Files On Reconfigurable Processors Using Smart Network Interface Controller (smartnic) Buffers
App 20220197710 - SIVARAMAKRISHNAN; Ram ;   et al.
2022-06-23
Inter-node Execution Of Configuration Files On Reconfigurable Processors Using Network Interface Controller (nic) Buffers
App 20220197713 - SIVARAMAKRISHNAN; Ram ;   et al.
2022-06-23
Runtime Execution Of Configuration Files On Reconfigurable Processors With Varying Configuration Granularity
App 20220197709 - SIVARAMAKRISHNAN; Ram ;   et al.
2022-06-23
Runtime Execution Of Functions Across Reconfigurable Processor
App 20220197711 - Sivaramakrishnan; Ram ;   et al.
2022-06-23
Training A Neural Network Using A Non-homogenous Set Of Reconfigurable Processors
App 20220197714 - RAUMANN; Martin Russell ;   et al.
2022-06-23
Executing A Neural Network Graph Using A Non-homogenous Set Of Reconfigurable Processors
App 20220198117 - RAUMANN; Martin Russell ;   et al.
2022-06-23
Dataflow Function Offload to Reconfigurable Processors
App 20220198114 - RAUMANN; Martin Russell ;   et al.
2022-06-23
Multi-headed multi-buffer for buffering data for processing
Grant 11,366,783 - Prabhakar , et al. June 21, 2
2022-06-21
Floating point multiply-add, accumulate unit with combined alignment circuits
Grant 11,366,638 - Oklobdzija , et al. June 21, 2
2022-06-21
Independent Control Of Multiple Concurrent Application Graphs In A Reconfigurable Data Processor
App 20220156213 - Grohoski; Gregory Frederick ;   et al.
2022-05-19
Variable-length clock stretcher with combiner timing logic
Grant 11,334,109 - ur Rahman , et al. May 17, 2
2022-05-17
Compile Time Logic For Inserting A Buffer Between A Producer Operation Unit And A Consumer Operation Unit In A Dataflow Graph
App 20220147328 - BROWN; Kevin James ;   et al.
2022-05-12
Computational units for batch normalization
Grant 11,328,038 - Wang , et al. May 10, 2
2022-05-10
Look-up table with input offsetting
Grant 11,327,717 - Wang , et al. May 10, 2
2022-05-10
Dual cycle tensor dropout in a neural network
Grant 11,328,209 - Terakanambi Sheshadri , et al. May 10, 2
2022-05-10
Sigmoid function in hardware and a reconfigurable data processor including same
Grant 11,327,923 - Wang , et al. May 10, 2
2022-05-10
Computation units for functions based on lookup tables
Grant 11,327,713 - Wang , et al. May 10, 2
2022-05-10
Defect repair circuits for a reconfigurable data processor
Grant 11,327,771 - Grohoski , et al. May 10, 2
2022-05-10
Method And Circuit For Scan Dump Of Latch Array
App 20220139478 - ZIAJA; Thomas A. ;   et al.
2022-05-05
Method And Circuit For Row Scannable Latch Array
App 20220139477 - ZIAJA; Thomas A. ;   et al.
2022-05-05
Variable-length clock stretcher with correction for glitches due to finite DLL bandwidth
Grant 11,323,124 - ur Rahman , et al. May 3, 2
2022-05-03
Variable-length clock stretcher with passive mode jitter reduction
Grant 11,290,114 - ur Rahman , et al. March 29, 2
2022-03-29
Variable-length clock stretcher with correction for digital DLL glitches
Grant 11,290,113 - ur Rahman , et al. March 29, 2
2022-03-29
Compile Time Logic for Detecting Streaming Compatible and Broadcast Compatible Data Access Patterns
App 20220092247 - KOEPLINGER; David Alan ;   et al.
2022-03-24
Efficient Deconfiguration Of A Reconfigurable Data Processor
App 20220083499 - Shah; Manish K. ;   et al.
2022-03-17
Lossless tiling in convolution networks--padding before tiling, location-based tiling, and zeroing-out
Grant 11,263,170 - Nama , et al. March 1, 2
2022-03-01
Runtime Patching of Configuration Files
App 20220058034 - GROHOSKI; Gregory Frederick ;   et al.
2022-02-24
Memory efficient dropout, with reordering of dropout mask elements
Grant 11,256,987 - Terakanambi Sheshadri , et al. February 22, 2
2022-02-22
Lossless tiling in convolution networks--read-modify-write in backward pass
Grant 11,250,061 - Nama , et al. February 15, 2
2022-02-15
Computationally efficient general matrix-matrix multiplication (GeMM)
Grant 11,250,105 - Wang , et al. February 15, 2
2022-02-15
Virtualization of a reconfigurable data processor
Grant 11,237,996 - Grohoski , et al. February 1, 2
2022-02-01
Variable-length clock stretcher with correction for glitches due to phase detector offset
Grant 11,239,846 - ur Rahman , et al. February 1, 2
2022-02-01
Compile time logic for detecting streaming compatible and broadcast compatible data access patterns
Grant 11,237,971 - Brown , et al. February 1, 2
2022-02-01
Dataflow all-reduce for reconfigurable processor systems
Grant 11,237,880 - Raumann , et al. February 1, 2
2022-02-01
Control Barrier Network for Reconfigurable Data Processors
App 20220027308 - PRABHAKAR; Raghu ;   et al.
2022-01-27
Lossless tiling in convolution networks--weight gradient calculation
Grant 11,232,360 - Nama , et al. January 25, 2
2022-01-25
Lossless tiling in convolution networks--section boundaries
Grant 11,227,207 - Nama , et al. January 18, 2
2022-01-18
Runtime Virtualization of Reconfigurable Data Flow Resources
App 20220012077 - KUMAR; Ravinder ;   et al.
2022-01-13
Tensor partitioning and partition access order
Grant 11,204,889 - Prabhakar , et al. December 21, 2
2021-12-21
Resource allocation for reconfigurable processors
Grant 11,200,096 - Shenbagam , et al. December 14, 2
2021-12-14
Lossless tiling in convolution networks--tiling configuration
Grant 11,195,080 - Nama , et al. December 7, 2
2021-12-07
Anti-Congestion Flow Control for Reconfigurable Processors
App 20210373867 - CHEN; Weiwei ;   et al.
2021-12-02
Universal rail kit
Grant 11,191,182 - Wilson , et al. November 30, 2
2021-11-30
Configuration unload of a reconfigurable data processor
Grant 11,188,497 - Shah , et al. November 30, 2
2021-11-30
Intra-node buffer-based streaming for reconfigurable processor-as-a-service (RPaaS)
Grant 11,182,264 - Sivaramakrishnan , et al. November 23, 2
2021-11-23
Inter-node buffer-based streaming for reconfigurable processor-as-a-service (RPaaS)
Grant 11,182,221 - Sivaramakrishnan , et al. November 23, 2
2021-11-23
Computationally Efficient General Matrix-Matrix Multiplication (GeMM)
App 20210357475 - WANG; Mingran ;   et al.
2021-11-18
Computational units for element approximation
Grant 11,150,872 - Wang , et al. October 19, 2
2021-10-19
Instrumentation profiling for reconfigurable processors
Grant 11,126,574 - Prabhakar , et al. September 21, 2
2021-09-21
Quiesce Reconfigurable Data Processor
App 20210271519 - Prabhakar; Raghu ;   et al.
2021-09-02
Compiler Flow Logic for Reconfigurable Architectures
App 20210271630 - KOEPLINGER; David Alan ;   et al.
2021-09-02
Compiler flow logic for reconfigurable architectures
Grant 11,080,227 - Koeplinger , et al. August 3, 2
2021-08-03
Computationally Efficient Softmax Loss Gradient Backpropagation
App 20210216873 - LIU; Chen
2021-07-15
Quiesce reconfigurable data processor
Grant 11,055,141 - Prabhakar , et al. July 6, 2
2021-07-06
Computational Units for Element Approximation
App 20210182021 - WANG; Mingran ;   et al.
2021-06-17
Computational Units for Batch Normalization
App 20210157550 - WANG; Mingran ;   et al.
2021-05-27
Look-up Table With Input Offsetting
App 20210149634 - WANG; Mingran ;   et al.
2021-05-20
Computation Units For Functions Based On Lookup Tables
App 20210096816 - WANG; Mingran ;   et al.
2021-04-01
Performance Estimation-Based Resource Allocation for Reconfigurable Architectures
App 20210081769 - CHEN; Zhuo ;   et al.
2021-03-18
Efficient Execution of Operation Unit Graphs on Reconfigurable Architectures Based on User Specification
App 20210081691 - CHEN; Zhuo ;   et al.
2021-03-18
Sigmoid Function In Hardware And A Reconfigurable Data Processor Including Same
App 20210064568 - WANG; Mingran ;   et al.
2021-03-04
Efficient Configuration Of A Reconfigurable Data Processor
App 20210055940 - Shah; Manish K. ;   et al.
2021-02-25
Compiler Flow Logic for Reconfigurable Architectures
App 20210042259 - KOEPLINGER; David Alan ;   et al.
2021-02-11
Quiesce Reconfigurable Data Processor
App 20210011770 - Prabhakar; Raghu ;   et al.
2021-01-14
Control Flow Barrier And Reconfigurable Data Processor
App 20200356523 - Prabhakar; Raghu ;   et al.
2020-11-12
Configuration load of a reconfigurable data processor
Grant 10,831,507 - Shah , et al. November 10, 2
2020-11-10
Matrix normal/transpose read and a reconfigurable data processor including same
Grant 10,768,899 - Koeplinger , et al. Sep
2020-09-08
Virtualization Of A Reconfigurable Data Processor
App 20200257643 - A1
2020-08-13
Matrix Normal/transpose Read And A Reconfigurable Data Processor Including Same
App 20200241844 - Koeplinger; David Alan ;   et al.
2020-07-30
Virtualization Of A Reconfigurable Data Processor
App 20200218683 - Grohoski; Gregory Frederick ;   et al.
2020-07-09
Virtualization of a reconfigurable data processor
Grant 10,698,853 - Grohoski , et al.
2020-06-30
Configuration Load Of A Reconfigurable Data Processor
App 20200159544 - Shah; Manish K. ;   et al.
2020-05-21
Configuration Unload Of A Reconfigurable Data Processor
App 20200159692 - Shah; Manish K. ;   et al.
2020-05-21

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed