loadpatents
name:-0.06459903717041
name:-0.073331117630005
name:-0.0028641223907471
Rivers; Jude A. Patent Filings

Rivers; Jude A.

Patent Applications and Registrations

Patent applications and USPTO patent grants for Rivers; Jude A..The latest application filed is for "dynamic temperature adjustments in spin transfer torque magnetoresistive random-access memory (stt-mram)".

Company Profile
2.50.53
  • Rivers; Jude A. - Cortlandt Manor NY US
  • Rivers; Jude A. - Cortlandt Manorm NY
  • Rivers; Jude A. - Yorktown Heights NY US
  • Rivers; Jude A. - Cortlandt NY
  • Rivers; Jude A. - Cortland Manor NY
  • Rivers; Jude A. - Peekskill NY
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Method and apparatus for monitoring and enhancing on-chip microprocessor reliability
Grant 10,216,557 - Bose , et al. Feb
2019-02-26
Processor with memory-embedded pipeline for table-driven computation
Grant 9,740,497 - Bose , et al. August 22, 2
2017-08-22
Processor with memory-embedded pipeline for table-driven computation
Grant 9,740,496 - Bose , et al. August 22, 2
2017-08-22
Determining and storing bit error rate relationships in spin transfer torque magnetoresistive random-access memory (STT-MRAM)
Grant 9,431,084 - Bose , et al. August 30, 2
2016-08-30
Determining and storing bit error rate relationships in spin transfer torque magnetoresistive random-access memory (STT-MRAM)
Grant 9,418,721 - Bose , et al. August 16, 2
2016-08-16
Dynamic temperature adjustments in spin transfer torque magnetoresistive random-access memory (STT-MRAM)
Grant 9,406,368 - Bose , et al. August 2, 2
2016-08-02
Enhanced modularity in heterogeneous 3D stacks
Grant 9,390,989 - Emma , et al. July 12, 2
2016-07-12
Enhanced modularity in heterogeneous 3D stacks
Grant 9,373,557 - Emma , et al. June 21, 2
2016-06-21
Dynamic temperature adjustments in spin transfer torque magnetoresistive random-access memory (STT-MRAM)
Grant 9,351,899 - Bose , et al. May 31, 2
2016-05-31
Method and apparatus for efficient execution of concurrent processes on a multithreaded message passing system
Grant 9,116,738 - Jacob , et al. August 25, 2
2015-08-25
Determining And Storing Bit Error Rate Relationships In Spin Transfer Torque Magnetoresistive Random-access Memory (stt-mram)
App 20150206568 - Bose; Pradip ;   et al.
2015-07-23
Determining And Storing Bit Error Rate Relationships In Spin Transfer Torque Magnetoresistive Random-access Memory (stt-mram)
App 20150206566 - Bose; Pradip ;   et al.
2015-07-23
Dynamic Temperature Adjustments In Spin Transfer Torque Magnetoresistive Random-access Memory (stt-mram)
App 20150206567 - Bose; Pradip ;   et al.
2015-07-23
Dynamic Temperature Adjustments In Spin Transfer Torque Magnetoresistive Random-access Memory (stt-mram)
App 20150206569 - Bose; Pradip ;   et al.
2015-07-23
Processor With Memory-embedded Pipeline For Table-driven Computation
App 20150074381 - Bose; Pradip ;   et al.
2015-03-12
Processor With Memory-embedded Pipeline For Table-driven Computation
App 20150074356 - Bose; Pradip ;   et al.
2015-03-12
Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip
Grant 8,796,047 - Bose , et al. August 5, 2
2014-08-05
Semiconductor Chip Repair By Stacking Of A Base Semiconductor Chip And A Repair Semiconductor Chip
App 20140159803 - Bose; Pradip ;   et al.
2014-06-12
Method And Apparatus For Efficient Execution Of Concurrent Processes On A Multithreaded Message Passing System
App 20140137130 - Jacob; Arpith C. ;   et al.
2014-05-15
Appliance For Accelerating Graph Database Management And Analytics Systems
App 20140136555 - Jacob; Arpith C. ;   et al.
2014-05-15
Method And Apparatus For Efficient Execution Of Concurrent Processes On A Multithreaded Message Passing System
App 20140137129 - Jacob; Arpith C. ;   et al.
2014-05-15
Appliance For Accelerating Graph Database Management And Analytics Systems
App 20140136553 - Jacob; Arpith C. ;   et al.
2014-05-15
Semiconductor chip repair by stacking of a base semiconductor chip and a repair semiconductor chip
Grant 8,679,861 - Bose , et al. March 25, 2
2014-03-25
Enhanced modularity in heterogeneous 3D stacks
Grant 8,677,613 - Kursun , et al. March 25, 2
2014-03-25
Low overhead dynamic thermal management in many-core cluster architecture
Grant 8,595,731 - Bose , et al. November 26, 2
2013-11-26
Accepting or rolling back execution of instructions based on comparing predicted and actual dependency control signals
Grant 8,589,662 - Altman , et al. November 19, 2
2013-11-19
Adaptive multi-bit error correction in endurance limited memories
Grant 8,589,762 - Rivers , et al. November 19, 2
2013-11-19
Adaptive Multi-bit Error Correction In Endurance Limited Memories
App 20130013977 - Rivers; Jude A. ;   et al.
2013-01-10
Enhanced Modularity in Heterogeneous 3D Stacks
App 20120268909 - Emma; Philip G. ;   et al.
2012-10-25
Enhanced Modularity in Heterogeneous 3D Stacks
App 20120272202 - Emma; Philip G. ;   et al.
2012-10-25
Enhanced Modularity in Heterogeneous 3D Stacks
App 20120272040 - Emma; Philip G. ;   et al.
2012-10-25
Control Signal Memoization In A Multiple Instruction Issue Microprocessor
App 20120144166 - Altman; Erik Richter ;   et al.
2012-06-07
Control signal memoization in a multiple instruction issue microprocessor
Grant 8,151,092 - Altman , et al. April 3, 2
2012-04-03
Temperature-controlled 3-dimensional bus placement
Grant 8,141,020 - Emma , et al. March 20, 2
2012-03-20
Method and system for soft error recovery during processor execution
Grant 8,108,714 - Bose , et al. January 31, 2
2012-01-31
Modeling system-level effects of soft errors
Grant 8,091,050 - Bose , et al. January 3, 2
2012-01-03
Enhanced Modularity in Heterogeneous 3D Stacks
App 20110286190 - Kursun; Eren ;   et al.
2011-11-24
Low Overhead Dynamic Thermal Management In Many-core Cluster Architecture
App 20110191776 - Bose; Pradip ;   et al.
2011-08-04
Method and apparatus for an efficient multi-path trace cache design
Grant 7,958,334 - Rasche , et al. June 7, 2
2011-06-07
Method and apparatus for an efficient multi-path trace cache design
Grant 7,930,525 - Rasche , et al. April 19, 2
2011-04-19
Write filter cache method and apparatus for protecting the microprocessor core from soft errors
Grant 7,921,331 - Bose , et al. April 5, 2
2011-04-05
Method and apparatus to extend the number of instruction bits in processors with fixed length instructions, in a manner compatible with existing code
Grant 7,865,699 - Altman , et al. January 4, 2
2011-01-04
Temperature-controlled 3-dimensional Bus Placement
App 20100333056 - Emma; Philip G. ;   et al.
2010-12-30
Method and apparatus for preventing soft error accumulation in register arrays
Grant 7,774,654 - Bose , et al. August 10, 2
2010-08-10
Modeling System-Level Effects of Soft Errors
App 20100083203 - Bose; Pradip ;   et al.
2010-04-01
Semiconductor Chip Repair By Stacking Of A Base Semiconductor Chip And A Repair Semiconductor Chip
App 20100015732 - Bose; Pradip ;   et al.
2010-01-21
Distributed buffer integrated cache memory organization and method for reducing energy consumption thereof
Grant 7,552,277 - Rivers June 23, 2
2009-06-23
Method And Arrangement For Enhancing Process Variability And Lifetime Reliability Through 3d Integration
App 20090144669 - Bose; Pradip ;   et al.
2009-06-04
Method And On-chip Control Apparatus For Enhancing Process Reliability And Process Variability Through 3d Integration
App 20090144678 - Bose; Pradip ;   et al.
2009-06-04
Cost-conscious Pre-emptive Cache Line Displacement And Relocation Mechanisms
App 20090083492 - Buyuktosunoglu; Alper ;   et al.
2009-03-26
System and method of workload-dependent reliability projection and monitoring for microprocessor chips and systems
Grant 7,506,216 - Bose , et al. March 17, 2
2009-03-17
System and method of execution of register pointer instructions ahead of instruction issues
Grant 7,496,733 - Altman , et al. February 24, 2
2009-02-24
Method And Apparatus For Monitoring And Enhancing On-chip Microprocessor Reliability
App 20090048808 - BOSE; PRADIP ;   et al.
2009-02-19
Method and apparatus for preventing soft error accumulation in register arrays
Grant 7,493,523 - Bose , et al. February 17, 2
2009-02-17
Predicting Microprocessor Lifetime Reliability Using Architecture-level Structure-aware Techniques
App 20090013207 - Bose; Pradip ;   et al.
2009-01-08
Method of predicting microprocessor lifetime reliability using architecture-level structure-aware techniques
Grant 7,472,038 - Bose , et al. December 30, 2
2008-12-30
Method And Apparatus For Preventing Soft Error Accumulation In Register Arrays
App 20080313509 - Bose; Pradip ;   et al.
2008-12-18
Transient cache storage with discard function for disposable data
Grant 7,461,209 - Altman , et al. December 2, 2
2008-12-02
Method and apparatus for monitoring and enhancing on-chip microprocessor reliability
Grant 7,454,316 - Bose , et al. November 18, 2
2008-11-18
Cost-conscious pre-emptive cache line displacement and relocation mechanisms
Grant 7,454,573 - Buyuktosunoglu , et al. November 18, 2
2008-11-18
Method And Apparatus For An Efficient Multi-path Trace Cache Design
App 20080270702 - Rasche; Galen A. ;   et al.
2008-10-30
Write filter cache method and apparatus for protecting the microprocessor core from soft errors
Grant 7,444,544 - Bose , et al. October 28, 2
2008-10-28
Method And Apparatus For An Efficient Multi-path Trace Cache Design
App 20080263326 - Rasche; Galen A. ;   et al.
2008-10-23
Method And System Of Predicting Microprocessor Lifetime
App 20080256383 - Bose; Pradip ;   et al.
2008-10-16
Write Filter Cache Method And Apparatus For Protecting The Microprocessor Core From Soft Errors
App 20080244186 - Bose; Pradip ;   et al.
2008-10-02
Reliability Morph For A Dual-core Transaction-processing System
App 20080229134 - Bose; Pradip ;   et al.
2008-09-18
Method and system for soft error recovery during processor execution
App 20080229145 - Bose; Pradip ;   et al.
2008-09-18
Method and apparatus for an efficient multi-path trace cache design
Grant 7,366,875 - Rasche , et al. April 29, 2
2008-04-29
Method And Apparatus To Extend The Number Of Instruction Bits In Processors With Fixed Length Instructions, In A Manner Compatible With Existing Code
App 20080065861 - Altman; Erik R. ;   et al.
2008-03-13
Extending the number of instruction bits in processors with fixed length instructions, in a manner compatible with existing code
Grant 7,340,588 - Altman , et al. March 4, 2
2008-03-04
System And Method Of Execution Of Register Pointer Instructions Ahead Of Instruction Issues
App 20080052495 - ALTMAN; ERIK ;   et al.
2008-02-28
System and method of execution of register pointer instructions ahead of instruction issue
Grant 7,325,124 - Altman , et al. January 29, 2
2008-01-29
Write filter cache method and apparatus for protecting the microprocessor core from soft errors
App 20080016393 - Bose; Pradip ;   et al.
2008-01-17
Method and apparatus for preventing soft error accumulation in register arrays
App 20070220366 - Bose; Pradip ;   et al.
2007-09-20
Mechanism and method for two level adaptive trace prediction
App 20070162895 - Altman; Erik R. ;   et al.
2007-07-12
Transient cache storage
App 20070130237 - Altman; Erik R. ;   et al.
2007-06-07
Methods and arrangements for reducing latency and snooping cost in non-uniform cache memory architectures
App 20060248287 - Buyuktosunoglu; Alper ;   et al.
2006-11-02
System and method for instruction memory storage and processing based on backwards branch control information
Grant 7,130,963 - Asaad , et al. October 31, 2
2006-10-31
Method and apparatus for predictive scheduling of memory accesses based on reference locality
App 20060236036 - Gschwind; Michael Karl ;   et al.
2006-10-19
Method and apparatus for embedding wide instruction words in a fixed-length instruction set architecture
App 20060174089 - Altman; Erik Richter ;   et al.
2006-08-03
Cost-conscious pre-emptive cache line displacement and relocation mechanisms
App 20060155933 - Buyuktosunoglu; Alper ;   et al.
2006-07-13
Method and apparatus for control signals memoization in a multiple instruction issue microprocessor
App 20060155965 - Altman; Erik Richter ;   et al.
2006-07-13
Method and apparatus for an efficient multi-path trace cache design
App 20060155932 - Rasche; Galen A. ;   et al.
2006-07-13
Processor with demand-driven clock throttling power reduction
Grant 7,076,681 - Bose , et al. July 11, 2
2006-07-11
Method and apparatus for monitoring and enhancing on-chip microprocessor reliability
App 20060080062 - Bose; Pradip ;   et al.
2006-04-13
System and method of workload-dependent reliability projection and monitoring for microprocessor chips and systems
App 20050257078 - Bose, Pradip ;   et al.
2005-11-17
System and method of execution of register pointer instructions ahead of instruction issue
App 20050251654 - Altman, Erik ;   et al.
2005-11-10
Method and apparatus for reducing logic activity in a microprocessor using reduced bit width slices that are enabled or disabled depending on operation width
Grant 6,948,051 - Rivers , et al. September 20, 2
2005-09-20
Method and apparatus to extend the number of instruction bits in processors with fixed length instructions, in a manner compatible with existing code
App 20050114629 - Altman, Erik R. ;   et al.
2005-05-26
Distributed buffer integrated cache memory organization and method for reducing energy consumption thereof
App 20050044317 - Rivers, Jude A.
2005-02-24
System and method for instruction memory storage and processing based on backwards branch control information
App 20050015537 - Asaad, Sameh W. ;   et al.
2005-01-20
Method and apparatus for history-based movement of shared-data in coherent cache memories of a multiprocessor system using push prefetching
Grant 6,711,651 - Moreno , et al. March 23, 2
2004-03-23
Processor with demand-driven clock throttling power reduction
App 20040044915 - Bose, Pradip ;   et al.
2004-03-04
Method and apparatus for memory prefetching based on intra-page usage history
Grant 6,678,795 - Moreno , et al. January 13, 2
2004-01-13
Method and apparatus for reducing logic activity in a microprocessor
App 20020174319 - Rivers, Jude A. ;   et al.
2002-11-21

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed