loadpatents
name:-0.31506896018982
name:-0.21436595916748
name:-0.076671123504639
Ramaswamy; Kartik Patent Filings

Ramaswamy; Kartik

Patent Applications and Registrations

Patent applications and USPTO patent grants for Ramaswamy; Kartik.The latest application filed is for "substrate edge ring that extends process environment beyond substrate diameter".

Company Profile
78.200.200
  • Ramaswamy; Kartik - San Jose CA
  • - San Jose CA US
  • Ramaswamy; Kartik - Santa Clara CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Gas distribution plate with UV blocker at the center
Grant 11,448,977 - Ramaswamy , et al. September 20, 2
2022-09-20
Method for controlling a plasma process
Grant 11,447,868 - Nguyen , et al. September 20, 2
2022-09-20
Multiple sequential linear powder dispensers for additive manufacturing
Grant 11,446,740 - Rowland , et al. September 20, 2
2022-09-20
Substrate Edge Ring That Extends Process Environment Beyond Substrate Diameter
App 20220293397 - RICE; Michael R. ;   et al.
2022-09-15
Methods of optical device fabrication using an electron beam apparatus
Grant 11,430,634 - Godet , et al. August 30, 2
2022-08-30
Methods And Apparatus For Processing A Substrate
App 20220270856 - POULOSE; John ;   et al.
2022-08-25
Methods And Apparatus For Processing A Substrate
App 20220270857 - POULOSE; John ;   et al.
2022-08-25
Temperature controlled secondary electrode for ion control at substrate edge
Grant 11,424,096 - Noorbakhsh , et al. August 23, 2
2022-08-23
Plasma reactor with electrode filaments extending from ceiling
Grant 11,424,104 - Collins , et al. August 23, 2
2022-08-23
Symmetric Plasma Process Chamber
App 20220254606 - CARDUCCI; James D. ;   et al.
2022-08-11
Continuous Liner For Use In A Processing Chamber
App 20220186366 - Carducci; James D. ;   et al.
2022-06-16
Plasma reactor with electrode assembly for moving substrate
Grant 11,355,321 - Collins , et al. June 7, 2
2022-06-07
Methods And Apparatus For Processing A Substrate
App 20220130642 - KAWASAKI; Katsumasa ;   et al.
2022-04-28
Symmetric plasma process chamber
Grant 11,315,760 - Carducci , et al. April 26, 2
2022-04-26
Plasma Chamber With A Multiphase Rotating Modulated Cross-flow
App 20220084794 - Collins; Kenneth S. ;   et al.
2022-03-17
In-situ Semiconductor Processing Chamber Temperature Apparatus
App 20220076972 - NGUYEN; Andrew ;   et al.
2022-03-10
Plasma Processing Assembly Using Pulsed-voltage And Radio-frequency Power
App 20220037119 - DORF; Leonid ;   et al.
2022-02-03
Plasma Processing Using Pulsed-voltage And Radio-frequency Power
App 20220037121 - DORF; Leonid ;   et al.
2022-02-03
Pulsed-voltage Hardware Assembly For Use In A Plasma Processing System
App 20220037120 - DORF; Leonid ;   et al.
2022-02-03
Symmetric Vhf Source For A Plasma Reactor
App 20210313147 - Ramaswamy; Kartik ;   et al.
2021-10-07
Methods And Apparatus For Processing A Substrate
App 20210296131 - Ramaswamy; Kartik ;   et al.
2021-09-23
Workpiece carrier for high power with enhanced edge sealing
Grant 11,127,619 - Ramaswamy , et al. September 21, 2
2021-09-21
Methods And Apparatus For Processing A Substrate
App 20210287907 - Ramaswamy; Kartik ;   et al.
2021-09-16
Plasma reactor with electrode array in ceiling
Grant 11,114,284 - Collins , et al. September 7, 2
2021-09-07
Ion-ion plasma atomic layer etch process
Grant 11,101,113 - Collins , et al. August 24, 2
2021-08-24
Methods and apparatus for processing a substrate
Grant 11,043,387 - Ramaswamy , et al. June 22, 2
2021-06-22
Symmetric VHF source for a plasma reactor
Grant 11,043,361 - Ramaswamy , et al. June 22, 2
2021-06-22
Plasma deposition of carbon hardmask
Grant 11,043,375 - Yang , et al. June 22, 2
2021-06-22
Gas distribution plate assembly for high power plasma etch processes
Grant 11,043,360 - Carducci , et al. June 22, 2
2021-06-22
High-density low temperature carbon films for hardmask and other patterning applications
Grant 11,043,372 - Venkatasubramanian , et al. June 22, 2
2021-06-22
Process chamber for field guided exposure and method for implementing the process chamber
Grant 11,003,080 - Ramaswamy , et al. May 11, 2
2021-05-11
Methods And Apparatus For Processing A Substrate
App 20210134599 - Ramaswamy; Kartik ;   et al.
2021-05-06
Temperature Controlled Secondary Electrode For Ion Control At Substrate Edge
App 20210134554 - NOORBAKHSH; HAMID ;   et al.
2021-05-06
Chamber with individually controllable plasma generation regions for a reactor for processing a workpiece
Grant 10,957,518 - Ramaswamy , et al. March 23, 2
2021-03-23
Methods Of Optical Device Fabrication Using An Electron Beam Apparatus
App 20210066036 - GODET; Ludovic ;   et al.
2021-03-04
Electrostatic chuck assembly having a dielectric filler
Grant 10,930,540 - Ramaswamy , et al. February 23, 2
2021-02-23
Wafer processing equipment having capacitive micro sensors
Grant 10,923,405 - Tedeschi , et al. February 16, 2
2021-02-16
Carbon Hard Masks For Patterning Applications And Methods Related Thereto
App 20210043449 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2021-02-11
Pulsed Plasma (dc/rf) Deposition Of High Quality C Films For Patterning
App 20210040618 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2021-02-11
Sheath And Temperature Control Of Process Kit
App 20210035844 - CHO; Jaeyong ;   et al.
2021-02-04
Process Monitor Device Having A Plurality Of Sensors Arranged In Concentric Circles
App 20210005518 - Tedeschi; Leonard ;   et al.
2021-01-07
Apparatus For Reduction Or Prevention Of Arcing In A Substrate Support
App 20200411355 - NOORBAKHSH; Hamid ;   et al.
2020-12-31
Methods And Apparatus For Reducing High Voltage Arcing In Semiconductor Process Chambers
App 20200381282 - HUSAIN; Anwar ;   et al.
2020-12-03
Feedforward temperature control for plasma processing apparatus
Grant 10,854,425 - Mahadeswaraswamy , et al. December 1, 2
2020-12-01
Radio frequency (RF) pulsing impedance tuning with multiplier mode
Grant 10,854,427 - Kawasaki , et al. December 1, 2
2020-12-01
Methods of optical device fabrication using an electron beam apparatus
Grant 10,818,472 - Godet , et al. October 27, 2
2020-10-27
Process monitor device having a plurality of sensors arranged in concentric circles
Grant 10,818,561 - Tedeschi , et al. October 27, 2
2020-10-27
Symmetrical plural-coil plasma source with side RF feeds and RF distribution plates
Grant 10,811,226 - Carducci , et al. October 20, 2
2020-10-20
Method And Apparatus For Angled Etching
App 20200321186 - WHITE; John M. ;   et al.
2020-10-08
Chamber With Individually Controllable Plasma Generation Regions For A Reactor For Processing A Workpiece
App 20200312630 - Ramaswamy; Kartik ;   et al.
2020-10-01
Methods and apparatus for electron beam etching process
Grant 10,790,153 - Guo , et al. September 29, 2
2020-09-29
Plasma processing reactor with a magnetic electron-blocking filter external of the chamber and uniform field within the chamber
Grant 10,784,085 - Ramaswamy , et al. Sept
2020-09-22
Method and apparatus for de-chucking a workpiece using a swing voltage sequence
Grant 10,784,132 - Wang , et al. Sept
2020-09-22
Methods and apparatus for supplying RF power to plasma chambers
Grant 10,770,267 - Kartashyan , et al. Sep
2020-09-08
High power electrostatic chuck with aperture-reducing plug in a gas hole
Grant 10,770,270 - Cho , et al. Sep
2020-09-08
Showerhead with reduced backside plasma ignition
Grant 10,745,807 - Wang , et al. A
2020-08-18
Particle monitoring device
Grant 10,718,719 - Tedeschi , et al.
2020-07-21
Etching methods
Grant 10,707,086 - Yang , et al.
2020-07-07
Methods Of Optical Device Fabrication Using An Electron Beam Apparatus
App 20200194218 - GODET; Ludovic ;   et al.
2020-06-18
Electron Beam Apparatus For Optical Device Fabrication
App 20200192027 - RAMASWAMY; Kartik ;   et al.
2020-06-18
Symmetric Plasma Process Chamber
App 20200185192 - CARDUCCI; James D. ;   et al.
2020-06-11
Apparatus for removing particles from a twin chamber processing system
Grant 10,672,591 - Nguyen , et al.
2020-06-02
Real-time measurement of a surface charge profile of an electrostatic chuck
Grant 10,656,194 - Wang , et al.
2020-05-19
Symmetric plasma process chamber
Grant 10,615,006 - Carducci , et al.
2020-04-07
Distributed electrode array for plasma processing
Grant 10,615,004 - Collins , et al.
2020-04-07
Radio Frequency (rf) Pulsing Impedance Tuning With Multiplier Mode
App 20200075290 - KAWASAKI; KATSUMASA ;   et al.
2020-03-05
Symmetric plasma process chamber
Grant 10,580,620 - Carducci , et al.
2020-03-03
Methods And Apparatus For Plasma Liners With High Fluid Conductance
App 20200066493 - NOORBAKHSH; HAMID ;   et al.
2020-02-27
Electrostatic Chuck Assembly Having A Dielectric Filler
App 20200066566 - Ramaswamy; Kartik ;   et al.
2020-02-27
Ion-ion Plasma Atomic Layer Etch Process
App 20200035454 - Collins; Kenneth S. ;   et al.
2020-01-30
Method, apparatus and system for wafer dechucking using dynamic voltage sweeping
Grant 10,546,731 - Wang , et al. Ja
2020-01-28
Deposition or treatment of diamond-like carbon in a plasma reactor
Grant 10,544,505 - Yang , et al. Ja
2020-01-28
Symmetric plasma process chamber
Grant 10,546,728 - Carducci , et al. Ja
2020-01-28
Symmetric plasma process chamber
Grant 10,535,502 - Carducci , et al. Ja
2020-01-14
Methods And Apparatus For Electron Beam Etching Process
App 20200006036 - GUO; Yue ;   et al.
2020-01-02
Etching Apparatus
App 20190393053 - YANG; Yang ;   et al.
2019-12-26
Processing tool with electrically switched electrode assembly
Grant 10,510,515 - Collins , et al. Dec
2019-12-17
Electrostatic chuck assembly having a dielectric filler
Grant 10,504,765 - Ramaswamy , et al. Dec
2019-12-10
Method And Apparatus For Ion Energy Distribution Manipulation For Plasma Processing Chambers That Allows Ion Energy Boosting Thr
App 20190348260 - LEE; WONSEOK ;   et al.
2019-11-14
Ion-ion plasma atomic layer etch process and reactor
Grant 10,475,626 - Collins , et al. Nov
2019-11-12
Gas distribution hub for plasma processing chamber
App 20190330748 - Nguyen; Andrew ;   et al.
2019-10-31
Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
Grant 10,453,655 - Kobayashi , et al. Oc
2019-10-22
Symmetric plasma process chamber
Grant 10,453,656 - Carducci , et al. Oc
2019-10-22
Distributed Electrode Array For Plasma Processing
App 20190287765 - COLLINS; Kenneth S. ;   et al.
2019-09-19
Distributed electrode array for plasma processing
Grant 10,418,225 - Collins , et al. Sept
2019-09-17
Showerhead With Reduced Backside Plasma Ignition
App 20190271082 - WANG; Haitao ;   et al.
2019-09-05
Method and apparatus for ion energy distribution manipulation for plasma processing chambers that allows ion energy boosting through amplitude modulation
Grant 10,395,896 - Lee , et al. A
2019-08-27
Method of real time in-situ chamber condition monitoring using sensors and RF communication
Grant 10,395,904 - Wong , et al. A
2019-08-27
Showerhead with reduced backside plasma ignition
Grant 10,378,108 - Wang , et al. A
2019-08-13
Distributed electrode array for plasma processing
Grant 10,373,807 - Collins , et al.
2019-08-06
Diamond Like Carbon Layer Formed By An Electron Beam Plasma Process
App 20190228970 - YANG; Yang ;   et al.
2019-07-25
Etching Apparatus And Methods
App 20190221437 - YANG; Yang ;   et al.
2019-07-18
Two Channel Cosine-theta Coil Assembly
App 20190189330 - FOVELL; RICHARD C. ;   et al.
2019-06-20
Method And Apparatus For De-chucking A Workpiece Using A Swing Voltage Sequence
App 20190189481 - Wang; Haitao ;   et al.
2019-06-20
Distributed electrode array for plasma processing
Grant 10,312,056 - Collins , et al.
2019-06-04
Methods And Apparatus For Shielding Substrate Supports
App 20190115246 - RAMASWAMY; Kartik ;   et al.
2019-04-18
Plasma Reactor Having Digital Control Over Rotation Frequency Of A Microwave Field With Direct Up-conversion
App 20190108981 - Kobayashi; Satoru ;   et al.
2019-04-11
Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
Grant 10,249,470 - Kenney , et al.
2019-04-02
Diamond like carbon layer formed by an electron beam plasma process
Grant 10,249,495 - Yang , et al.
2019-04-02
Magnet configurations for radial uniformity tuning of ICP plasmas
Grant 10,249,479 - Aubuchon , et al.
2019-04-02
Method of Real Time In-Situ Chamber Condition Monitoring Using Sensors and Rf Communication
App 20190096641 - Wong; Lawrence ;   et al.
2019-03-28
Method and apparatus for de-chucking a workpiece using a swing voltage sequence
Grant 10,242,893 - Wang , et al.
2019-03-26
Plasma Reactor Having Radial Struts for Substrate Support
App 20190085467 - Nguyen; Andrew ;   et al.
2019-03-21
Plasma Deposition Of Carbon Hardmask
App 20190057862 - YANG; Yang ;   et al.
2019-02-21
Distributed Electrode Array For Plasma Processing
App 20190057840 - COLLINS; Kenneth S. ;   et al.
2019-02-21
Distributed Electrode Array For Plasma Processing
App 20190057841 - COLLINS; Kenneth S. ;   et al.
2019-02-21
Distributed Electrode Array For Plasma Processing
App 20190051496 - COLLINS; Kenneth S. ;   et al.
2019-02-14
Process Chamber For Field Guided Exposure And Method For Implementing The Process Chamber
App 20190018322 - RAMASWAMY; Kartik ;   et al.
2019-01-17
Inductively coupled plasma source
Grant 10,170,278 - Nguyen , et al. J
2019-01-01
Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
Grant 10,170,279 - Kenney , et al. J
2019-01-01
Processing Tool With Electrically Switched Electrode Assembly
App 20180374684 - Collins; Kenneth S. ;   et al.
2018-12-27
Plasma Reactor With Electrode Assembly For Moving Substrate
App 20180374686 - Collins; Kenneth S. ;   et al.
2018-12-27
Plasma Reactor With Electrode Array In Ceiling
App 20180374685 - Collins; Kenneth S. ;   et al.
2018-12-27
Wafer Processing Equipment Having Capacitive Micro Sensors
App 20180374764 - Tedeschi; Leonard ;   et al.
2018-12-27
Method And Apparatus For De-chucking A Workpiece Using A Swing Voltage Sequence
App 20180366359 - Wang; Haitao ;   et al.
2018-12-20
Multiple Electrode Substrate Support Assembly And Phase Control System
App 20180366306 - YANG; Yang ;   et al.
2018-12-20
In-situ Semiconductor Processing Chamber Temperature Apparatus
App 20180366354 - NGUYEN; Andrew ;   et al.
2018-12-20
High-density Low Temperature Carbon Films For Hardmask And Other Patterning Applications
App 20180358222 - VENKATASUBRAMANIAN; Eswaranand ;   et al.
2018-12-13
Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
Grant 10,153,133 - Kobayashi , et al. Dec
2018-12-11
Multiple electrode substrate support assembly and phase control system
Grant 10,153,139 - Yang , et al. Dec
2018-12-11
Apparatus And Method For Controlling A Plasma Process
App 20180342375 - NGUYEN; Andrew ;   et al.
2018-11-29
Method of real time in-situ chamber condition monitoring using sensors and RF communication
Grant 10,141,166 - Wong , et al. Nov
2018-11-27
Particle Monitoring Device
App 20180335393 - TEDESCHI; Leonard ;   et al.
2018-11-22
Inductively coupled plasma source with top coil over a ceiling and an independent side coil and independent air flow
Grant 10,131,994 - Nguyen , et al. November 20, 2
2018-11-20
Method To Modulate The Wafer Edge Sheath In A Plasma Processing Chamber
App 20180323042 - WANG; Haitao ;   et al.
2018-11-08
Method and apparatus for controlling a magnetic field in a plasma chamber
Grant 10,115,566 - Lane , et al. October 30, 2
2018-10-30
Electrostatic Chuck Assembly Having A Dielectric Filler
App 20180308736 - Ramaswamy; Kartik ;   et al.
2018-10-25
Plasma Reactor With Groups Of Electrodes
App 20180308667 - Collins; Kenneth S. ;   et al.
2018-10-25
Plasma Reactor With Electrode Filaments Extending From Ceiling
App 20180308666 - Collins; Kenneth S. ;   et al.
2018-10-25
Plasma Reactor With Filaments And Rf Power Applied At Multiple Frequencies
App 20180308664 - Collins; Kenneth S. ;   et al.
2018-10-25
Plasma Reactor With Electrode Filaments
App 20180308661 - Collins; Kenneth S. ;   et al.
2018-10-25
Plasma Reactor With Phase Shift Applied Across Electrode Array
App 20180308663 - Collins; Kenneth S. ;   et al.
2018-10-25
Process chamber for field guided exposure and method for implementing the process chamber
Grant 10,095,114 - Ramaswamy , et al. October 9, 2
2018-10-09
Alternating Between Deposition And Treatment Of Diamond-like Carbon
App 20180274100 - Yang; Yang ;   et al.
2018-09-27
Deposition Or Treatment Of Diamond-like Carbon In A Plasma Reactor
App 20180274089 - Yang; Yang ;   et al.
2018-09-27
Plasma Reactor With Electron Beam Of Secondary Electrons
App 20180277340 - Yang; Yang ;   et al.
2018-09-27
Wafer processing equipment having capacitive micro sensors
Grant 10,083,883 - Tedeschi , et al. September 25, 2
2018-09-25
Ion-ion Plasma Atomic Layer Etch Process And Reactor
App 20180261429 - Collins; Kenneth S. ;   et al.
2018-09-13
Method And Apparatus For Ion Energy Distribution Manipulation For Plasma Processing Chambers That Allows Ion Energy Boosting Through Amplitude Modulation
App 20180254171 - LEE; WONSEOK ;   et al.
2018-09-06
Particle monitoring device
Grant 10,067,070 - Tedeschi , et al. September 4, 2
2018-09-04
Multiple Sequential Linear Powder Dispensers For Additive Manufacturing
App 20180221948 - Rowland; Christopher A. ;   et al.
2018-08-09
Linear Powder Dispenser That Raster Scans For Additive Manufacturing
App 20180221949 - Rowland; Christopher A. ;   et al.
2018-08-09
Inductively Coupled Plasma Source With Symmetrical Rf Feed And Reactance Elements
App 20180218873 - Kenney; Jason A. ;   et al.
2018-08-02
Plasma Source With Symmetrical Rf Feed
App 20180211811 - Kenney; Jason A. ;   et al.
2018-07-26
Method and apparatus for controlling plasma near the edge of a substrate
Grant 10,017,857 - Nguyen , et al. July 10, 2
2018-07-10
Symmetrical Plural-Coil Plasma Source with Side Rf Feeds and Rf Distribution Plates
App 20180138014 - Carducci; James D. ;   et al.
2018-05-17
Inductively coupled plasma source with symmetrical RF feed
Grant 9,928,987 - Kenney , et al. March 27, 2
2018-03-27
Independently Controllable Powder Delivery For Additive Manufacturing
App 20180065178 - Rowland; Christopher A. ;   et al.
2018-03-08
Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
Grant 9,911,582 - Wu , et al. March 6, 2
2018-03-06
Low Electron Temperature Etch Chamber with Independent Control Over Plasma Density, Radical Composition Ion Energy for Atomic Precision Etching
App 20180053631 - Dorf; Leonid ;   et al.
2018-02-22
Symmetric VHF Source for a Plasma Reactor
App 20180053630 - Ramaswamy; Kartik ;   et al.
2018-02-22
Inductively coupled plasma source with multiple dielectric windows and window-supporting structure
Grant 9,896,769 - Nguyen , et al. February 20, 2
2018-02-20
Symmetrical plural-coil plasma source with side RF feeds and RF distribution plates
Grant 9,870,897 - Carducci , et al. January 16, 2
2018-01-16
Diamond Like Carbon Layer Formed By An Electron Beam Plasma Process
App 20170372899 - YANG; Yang ;   et al.
2017-12-28
Gas Distribution Plate Assembly For High Power Plasma Etch Processes
App 20170365443 - CARDUCCI; James D. ;   et al.
2017-12-21
Wafer Processing Equipment Having Capacitive Micro Sensors
App 20170365531 - Tedeschi; Leonard ;   et al.
2017-12-21
High Power Electrostatic Chuck Design With Radio Frequency Coupling
App 20170352567 - Cho; Jaeyong ;   et al.
2017-12-07
Inductively Coupled Plasma Source with Multiple Dielectric Windows and Window Supporting Structure
App 20170350018 - Nguyen; Andrew ;   et al.
2017-12-07
Workpiece Carrier With Gas Pressure In Inner Cavities
App 20170352565 - Zhang; Chunlei ;   et al.
2017-12-07
High Power Electrostatic Chuck With Aperture-reducing Plug In A Gas Hole
App 20170352568 - Cho; Jaeyong ;   et al.
2017-12-07
Symmetrical Inductively Coupled Plasma Source with Symmetrical Flow Chamber
App 20170350017 - Nguyen; Andrew ;   et al.
2017-12-07
Workpiece Carrier For High Power With Enhanced Edge Sealing
App 20170352566 - Ramaswamy; Kartik ;   et al.
2017-12-07
Symmetric VHF source for a plasma reactor
Grant 9,824,862 - Ramaswamy , et al. November 21, 2
2017-11-21
Low electron temperature etch chamber with independent control over plasma density, radical composition and ion energy for atomic precision etching
Grant 9,799,491 - Dorf , et al. October 24, 2
2017-10-24
Symmetric Plasma Process Chamber
App 20170271129 - CARDUCCI; James D. ;   et al.
2017-09-21
Symmetrical inductively coupled plasma source with symmetrical flow chamber
Grant 9,745,663 - Nguyen , et al. August 29, 2
2017-08-29
Symmetric plasma process chamber
Grant 9,741,546 - Carducci , et al. August 22, 2
2017-08-22
Real Time Process Characterization
App 20170221775 - Tedeschi; Leonard ;   et al.
2017-08-03
Self-aware Production Wafers
App 20170221783 - TEDESCHI; Leonard ;   et al.
2017-08-03
Electron beam plasma source with reduced metal contamination
Grant 9,721,760 - Dorf , et al. August 1, 2
2017-08-01
Additive Manufacturing With Laser And Plasma
App 20170203364 - Ramaswamy; Kartik ;   et al.
2017-07-20
Layerwise Heating, Linewise Heating, Plasma Heating And Multiple Feed Materials In Additive Manufacturing
App 20170203363 - Rowland; Christopher A. ;   et al.
2017-07-20
Additive Manufacturing With Laser And Gas Flow
App 20170182556 - Ramaswamy; Kartik ;   et al.
2017-06-29
Method And Apparatus For Controlling A Magnetic Field In A Plasma Chamber
App 20170162365 - LANE; STEVEN ;   et al.
2017-06-08
System and method for selective coil excitation in inductively coupled plasma processing reactors
Grant 9,659,751 - Ramaswamy , et al. May 23, 2
2017-05-23
Particle Monitoring Device
App 20170131217 - TEDESCHI; Leonard ;   et al.
2017-05-11
Low Electron Temperature Etch Chamber With Independent Control Over Plasma Density, Radical Composition And Ion Energy For Atomic Precision Etching
App 20170125217 - Dorf; Leonid ;   et al.
2017-05-04
Component temperature control by coolant flow control and heater duty cycle control
Grant 9,639,097 - Mahadeswaraswamy , et al. May 2, 2
2017-05-02
Showerhead With Reduced Backside Plasma Ignition
App 20170101713 - WANG; Haitao ;   et al.
2017-04-13
Method and apparatus for controlling a magnetic field in a plasma chamber
Grant 9,613,783 - Lane , et al. April 4, 2
2017-04-04
Plasma Reactor For Processing A Workpiece With An Array Of Plasma Point Sources
App 20170092470 - Ramaswamy; Kartik ;   et al.
2017-03-30
Electron beam plasma source with remote radical source
Grant 9,564,297 - Wu , et al. February 7, 2
2017-02-07
Multiple Electrode Substrate Support Assembly And Phase Control System
App 20160372307 - YANG; YANG ;   et al.
2016-12-22
Method And Apparatus For Controlling Plasma Near The Edge Of A Substrate
App 20160322242 - NGUYEN; Andrew ;   et al.
2016-11-03
Symmetric Plasma Process Chamber
App 20160314937 - CARDUCCI; James D. ;   et al.
2016-10-27
Symmetric Plasma Process Chamber
App 20160314936 - CARDUCCI; James D. ;   et al.
2016-10-27
Symmetric Plasma Process Chamber
App 20160314942 - CARDUCCI; James D. ;   et al.
2016-10-27
Symmetric Plasma Process Chamber
App 20160314940 - CARDUCCI; James D. ;   et al.
2016-10-27
Method of multiple zone symmetric gas injection for inductively coupled plasma
Grant 9,472,379 - Lane , et al. October 18, 2
2016-10-18
Plasma Reactor Having Digital Control Over Rotation Frequency Of A Microwave Field With Direct Up-conversion
App 20160284519 - Kobayashi; Satoru ;   et al.
2016-09-29
Ion-ion Plasma Atomic Layer Etch Process And Reactor
App 20160276134 - Collins; Kenneth S. ;   et al.
2016-09-22
Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
Grant 9,449,794 - Nguyen , et al. September 20, 2
2016-09-20
Electron beam plasma source with segmented suppression electrode for uniform plasma generation
Grant 9,443,700 - Dorf , et al. September 13, 2
2016-09-13
Magnet Configurations For Radial Uniformity Tuning Of Icp Plasmas
App 20160225590 - Aubuchon; Joseph F. ;   et al.
2016-08-04
Plasma Processing Reactor With A Magnetic Electron-blocking Filter External Of The Chamber And Uniform Field Within The Chamber
App 20160225466 - Ramaswamy; Kartik ;   et al.
2016-08-04
Semiconductor System Assemblies And Methods Of Operation
App 20160217981 - Nguyen; Andrew ;   et al.
2016-07-28
Fast atomic layer etch process using an electron beam
Grant 9,362,131 - Agarwal , et al. June 7, 2
2016-06-07
Feedforward Temperature Control For Plasma Processing Apparatus
App 20160155612 - MAHADESWARASWAMY; Chetan ;   et al.
2016-06-02
Process Chamber for Field Guided Exposure and method for Implementing the process chamber
App 20160139503 - RAMASWAMY; Kartik ;   et al.
2016-05-19
Feedforward temperature control for plasma processing apparatus
Grant 9,338,871 - Mahadeswaraswamy , et al. May 10, 2
2016-05-10
Real-time Measurement Of A Surface Charge Profile Of An Electrostatic Chuck
App 20160116518 - WANG; HAITAO ;   et al.
2016-04-28
Digital phase controller for two-phase operation of a plasma reactor
Grant 9,312,106 - Kobayashi , et al. April 12, 2
2016-04-12
Semiconductor system assemblies and methods of operation
Grant 9,287,095 - Nguyen , et al. March 15, 2
2016-03-15
Methods And Apparatus For Controlling Photoresist Line Width Roughness With Enhanced Electron Spin Control
App 20160064197 - WU; Banqiu ;   et al.
2016-03-03
Fast Atomic Layer Etch Process Using An Electron Beam
App 20160064231 - Agarwal; Ankur ;   et al.
2016-03-03
Method Of Real Time In-situ Chamber Condition Monitoring Using Sensors And Rf Communication
App 20160048111 - Wong; Lawrence ;   et al.
2016-02-18
Electron Beam Plasma Source With Rotating Cathode, Backside Heliumcooling And Liquid Cooled Pedestal For Uniform Plasma Generation
App 20160042961 - Dorf; Leonid ;   et al.
2016-02-11
Method And Apparatus For Controlling A Magnetic Field In A Plasma Chamber
App 20160027613 - LANE; STEVEN ;   et al.
2016-01-28
System And Method For Selective Coil Excitation In Inductively Coupled Plasma Processing Reactors
App 20160027616 - RAMASWAMY; KARTIK ;   et al.
2016-01-28
Feol Low-k Spacers
App 20160005833 - Collins; Kenneth S. ;   et al.
2016-01-07
Method Of Multiple Zone Symmetric Gas Injection For Inductively Coupled Plasma
App 20150371824 - LANE; Steven ;   et al.
2015-12-24
Photoresist treatment method by low bombardment plasma
Grant 9,177,824 - Wu , et al. November 3, 2
2015-11-03
Independent control of RF phases of separate coils of an inductively coupled plasma reactor
Grant 9,161,428 - Collins , et al. October 13, 2
2015-10-13
Electron beam plasma source with arrayed plasma sources for uniform plasma generation
Grant 9,129,777 - Dorf , et al. September 8, 2
2015-09-08
Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
Grant 9,111,722 - Dorf , et al. August 18, 2
2015-08-18
Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
Grant 9,082,590 - Carducci , et al. July 14, 2
2015-07-14
Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
Grant 9,082,591 - Dorf , et al. July 14, 2
2015-07-14
Gas distribution plate with discrete protective elements
Grant 9,068,265 - Lubomirsky , et al. June 30, 2
2015-06-30
Semiconductor System Assemblies And Methods Of Operation
App 20150170879 - Nguyen; Andrew ;   et al.
2015-06-18
Semiconductor System Assemblies And Methods Of Operation
App 20150170943 - Nguyen; Andrew ;   et al.
2015-06-18
Semiconductor System Assemblies And Methods Of Operation
App 20150170924 - Nguyen; Andrew ;   et al.
2015-06-18
Component Temperature Control By Coolant Flow Control And Heater Duty Cycle Control
App 20150134128 - MAHADESWARASWAMY; Chetan ;   et al.
2015-05-14
Multizone Hollow Cathode Discharge System With Coaxial And Azimuthal Symmetry And With Consistent Central Trigger
App 20150097486 - NGUYEN; Andrew ;   et al.
2015-04-09
Spatially Discrete Multi-loop Rf-driven Plasma Source Having Plural Independent Zones
App 20150075716 - Ramaswamy; Kartik ;   et al.
2015-03-19
Inductively Coupled Spatially Discrete Multi-loop Rf-driven Plasma Source
App 20150075717 - Ramaswamy; Kartik ;   et al.
2015-03-19
Symmetric Vhf Source For A Plasma Reactor
App 20150075719 - Ramaswamy; Kartik ;   et al.
2015-03-19
In-situ VHF current sensor for a plasma reactor
Grant 8,970,226 - Hanawa , et al. March 3, 2
2015-03-03
Synchronized radio frequency pulsing for plasma etching
Grant 8,962,488 - Liao , et al. February 24, 2
2015-02-24
Three-dimensional (3d) Processing And Printing With Plasma Sources
App 20150042017 - RAMASWAMY; Kartik ;   et al.
2015-02-12
Multizone Hollow Cathode Discharge System With Coaxial And Azimuthal Symmetry And With Consistent Central Trigger
App 20150040829 - RAMASWAMY; Kartik ;   et al.
2015-02-12
Electron beam plasma source with segmented beam dump for uniform plasma generation
Grant 8,951,384 - Dorf , et al. February 10, 2
2015-02-10
Method and system for etching plural layers on a workpiece including a lower layer containing an advanced memory material
Grant 8,932,959 - Nemani , et al. January 13, 2
2015-01-13
Symmetric VHF source for a plasma reactor
Grant 8,920,597 - Ramaswamy , et al. December 30, 2
2014-12-30
Symmetric VHF source for a plasma reactor
Grant 08920597 -
2014-12-30
Apparatus For Removing Particles From A Twin Chamber Processing System
App 20140374024 - NGUYEN; ANDREW ;   et al.
2014-12-25
Photoresist Treatment Method By Low Bombardment Plasma
App 20140370708 - WU; BANQIU ;   et al.
2014-12-18
Charged Beam Plasma Apparatus For Photomask Manufacture Applications
App 20140356768 - WU; Banqiu ;   et al.
2014-12-04
Plasma immersion ion implantation reactor with extended cathode process ring
Grant 8,900,405 - Porshnev , et al. December 2, 2
2014-12-02
Etch Process Having Adaptive Control With Etch Depth Of Pressure And Power
App 20140342570 - Doan; Kenny Linh ;   et al.
2014-11-20
Electron Beam Plasma Source With Remote Radical Source
App 20140339980 - Wu; Ming-Feng ;   et al.
2014-11-20
Electron Beam Plasma Source With Reduced Metal Contamination
App 20140338835 - Dorf; Leonid ;   et al.
2014-11-20
Component temperature control by coolant flow control and heater duty cycle control
Grant 8,880,227 - Mahadeswaraswamy , et al. November 4, 2
2014-11-04
Apparatus and method for analyzing thermal properties of composite structures
Grant 8,878,926 - Ye , et al. November 4, 2
2014-11-04
Symmetrical Plural-coil Plasma Source With Side Rf Feeds And Rf Distribution Plates
App 20140312766 - Carducci; James D. ;   et al.
2014-10-23
Electron Beam Plasma Source With Segmented Suppression Electrode For Uniform Plasma Generation
App 20140265855 - Dorf; Leonid ;   et al.
2014-09-18
Method And Apparatus For Generating A Variable Clock Used To Control A Component Of A Substrate Processing System
App 20140262032 - COLLINS; KENNETH S. ;   et al.
2014-09-18
Multi-mode Etch Chamber Source Assembly
App 20140262031 - BELOSTOTSKIY; Sergey G. ;   et al.
2014-09-18
Multiple Coil Inductively Coupled Plasma Source With Offset Frequencies And Double-walled Shielding
App 20140265832 - Kenney; Jason A. ;   et al.
2014-09-18
Digital Phase Controller For Two-phase Operation Of A Plasma Reactor
App 20140265910 - Kobayashi; Satoru ;   et al.
2014-09-18
Symmetrical Inductively Coupled Plasma Source With Side Rf Feeds And Spiral Coil Antenna
App 20140232263 - Nguyen; Andrew ;   et al.
2014-08-21
Radial Transmission Line Based Plasma Source
App 20140202634 - Ramaswamy; Kartik ;   et al.
2014-07-24
Inductively Coupled Plasma Source
App 20140196849 - NGUYEN; ANDREW ;   et al.
2014-07-17
Method And System For Etching Plural Layers On A Workpiece Including A Lower Layer Containing An Advanced Memory Material
App 20140170856 - Nemani; Srinivas D. ;   et al.
2014-06-19
Method of differential counter electrode tuning in an RF plasma reactor
Grant 8,734,664 - Yang , et al. May 27, 2
2014-05-27
Methods and apparatus for performing multiple photoresist layer development and etching processes
Grant 8,709,706 - Wu , et al. April 29, 2
2014-04-29
Differential Counter Electrode Tuning In A Plasma Reactor With An Rf-driven Ceiling Electrode
App 20140069584 - Yang; Yang ;   et al.
2014-03-13
Symmetric VHF plasma power coupler with active uniformity steering
Grant 8,652,297 - Collins , et al. February 18, 2
2014-02-18
Method Of Differential Counter Electrode Tuning In An Rf Plasma Reactor
App 20140034612 - Yang; Yang ;   et al.
2014-02-06
Differential Counter Electrode Tuning In A Plasma Reactor With An Rf-driven Workpiece Support Electrode
App 20140034239 - Yang; Yang ;   et al.
2014-02-06
Inductively Coupled Plasma Source With Plural Top Coils Over A Ceiling And An Independent Side Coil
App 20140020836 - NGUYEN; ANDREW ;   et al.
2014-01-23
Symmetrical Inductively Coupled Plasma Source With Side Rf Feeds And Rf Distribution Plates
App 20140021861 - Carducci; James D. ;   et al.
2014-01-23
Symmetrical Inductively Coupled Plasma Source With Coaxial Rf Feed And Coaxial Shielding
App 20140020838 - Kenney; Jason A. ;   et al.
2014-01-23
Symmetrical Inductively Coupled Plasma Source With Symmetrical Flow Chamber
App 20140020835 - Nguyen; Andrew ;   et al.
2014-01-23
Inductively Coupled Plasma Source With Symmetrical Rf Feed
App 20140020839 - Kenney; Jason A. ;   et al.
2014-01-23
Inductively Coupled Plasma Source With Multiple Dielectric Windows And Window-supporting Structure
App 20140020837 - NGUYEN; ANDREW ;   et al.
2014-01-23
In-situ Vhf Current Sensor For A Plasma Reactor
App 20130320998 - HANAWA; HIROJI ;   et al.
2013-12-05
Apparatus for VHF impedance match tuning
Grant 8,578,879 - Ramaswamy , et al. November 12, 2
2013-11-12
Capacitively Coupled Plasma Source With Rf Coupled Grounded Electrode
App 20130292057 - Ramaswamy; Kartik ;   et al.
2013-11-07
Independent Control Of Rf Phases Of Separate Coils Of An Inductively Coupled Plasma Reactor
App 20130284370 - Collins; Kenneth S. ;   et al.
2013-10-31
Two-phase Operation Of Plasma Chamber By Phase Locked Loop
App 20130284369 - Kobayashi; Satoru ;   et al.
2013-10-31
Three-coil Inductively Coupled Plasma Source With Individually Controlled Coil Currents From A Single Rf Power Generator
App 20130278141 - Dorf; Leonid ;   et al.
2013-10-24
Three-coil Inductively Coupled Plasma Source With Individually Controlled Coil Currents From A Single Rf Power Generator
App 20130278142 - Dorf; Leonid ;   et al.
2013-10-24
Plasma Processing Using Rf Return Path Variable Impedance Controller With Two-dimensional Tuning Space
App 20130277333 - Misra; Nipun ;   et al.
2013-10-24
Fast Response Fluid Temperature Control System
App 20130240144 - Buchberger; Douglas A. ;   et al.
2013-09-19
Synchronized Radio Frequency Pulsing For Plasma Etching
App 20130213935 - LIAO; BRYAN ;   et al.
2013-08-22
In-situ VHF voltage sensor for a plasma reactor
Grant 8,513,939 - Hanawa , et al. August 20, 2
2013-08-20
Methods and apparatus for tuning matching networks
Grant 8,513,889 - Zhang , et al. August 20, 2
2013-08-20
Overhead Electron Beam Source For Plasma Ion Generation In A Workpiece Processing Region
App 20130098873 - Ramaswamy; Kartik ;   et al.
2013-04-25
Switched Electron Beam Plasma Source Array For Uniform Plasma Production
App 20130098872 - Dorf; Leonid ;   et al.
2013-04-25
Electron Beam Plasma Source With Segmented Beam Dump For Uniform Plasma Generation
App 20130098882 - Dorf; Leonid ;   et al.
2013-04-25
Electron Beam Plasma Source With Arrayed Plasma Sources For Uniform Plasma Generation
App 20130098551 - Dorf; Leonid ;   et al.
2013-04-25
E-beam Plasma Source With Profiled E-beam Extraction Grid For Uniform Plasma Generation
App 20130098552 - Dorf; Leonid ;   et al.
2013-04-25
Symmetric Plasma Process Chamber
App 20130087286 - Carducci; James D. ;   et al.
2013-04-11
Synchronized radio frequency pulsing for plasma etching
Grant 8,404,598 - Liao , et al. March 26, 2
2013-03-26
Pulsed plasma high aspect ratio dielectric process
Grant 8,382,999 - Agarwal , et al. February 26, 2
2013-02-26
Plasma reactor with uniform process rate distribution by improved RF ground return path
Grant 8,360,003 - Nguyen , et al. January 29, 2
2013-01-29
Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
Grant 8,357,264 - Shannon , et al. January 22, 2
2013-01-22
Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
Grant 8,337,661 - Shannon , et al. December 25, 2
2012-12-25
Methods And Apparatus For Controlling Photoresist Line Width Roughness With Enhanced Electron Spin Control
App 20120318773 - Wu; Banqiu ;   et al.
2012-12-20
Methods And Apparatus For Performing Multiple Photoresist Layer Development And Etching Processes
App 20120322011 - Wu; Banqiu ;   et al.
2012-12-20
Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
Grant 8,324,525 - Shannon , et al. December 4, 2
2012-12-04
Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
Grant 8,313,664 - Chen , et al. November 20, 2
2012-11-20
Field enhanced inductively coupled plasma (Fe-ICP) reactor
Grant 8,299,391 - Todorow , et al. October 30, 2
2012-10-30
Substrate support having fluid channel
Grant 8,279,577 - Nguyen , et al. October 2, 2
2012-10-02
Rf Power Delivery System In A Semiconductor Apparatus
App 20120241091 - Chen; Zhigang ;   et al.
2012-09-27
Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
Grant 8,264,154 - Banner , et al. September 11, 2
2012-09-11
Plasma Immersion Chamber
App 20120199071 - COLLINS; KENNETH S. ;   et al.
2012-08-09
Apparatus for multiple frequency power application
Grant 8,237,517 - Shannon , et al. August 7, 2
2012-08-07
Gas Distribution Plate With Discrete Protective Elements
App 20120193456 - Lubomirsky; Dmitry ;   et al.
2012-08-02
RF power delivery system in a semiconductor apparatus
Grant 8,206,552 - Chen , et al. June 26, 2
2012-06-26
Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
Grant 8,168,519 - Li , et al. May 1, 2
2012-05-01
In-situ Vhf Voltage/current Sensors For A Plasma Reactor
App 20120086464 - Hanawa; Hiroji ;   et al.
2012-04-12
Apparatus for characterizing a magnetic field in a magnetically enhanced substrate processing system
Grant 8,148,977 - Ramaswamy , et al. April 3, 2
2012-04-03
Apparatus And Method For Analyzing Thermal Properties Of Composite Structures
App 20120069174 - Ye; Zheng John ;   et al.
2012-03-22
Component Temperature Control By Coolant Flow Control And Heater Duty Cycle Control
App 20120048467 - Mahadeswaraswamy; Chetan ;   et al.
2012-03-01
Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
Grant 8,080,479 - Collins , et al. December 20, 2
2011-12-20
Plasma process uniformity across a wafer by controlling RF phase between opposing electrodes
Grant 8,076,247 - Collins , et al. December 13, 2
2011-12-13
Plasma immersion ion implantation reactor having multiple ion shower grids
Grant 8,058,156 - Hanawa , et al. November 15, 2
2011-11-15
Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
Grant 8,018,164 - Shannon , et al. September 13, 2
2011-09-13
Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
Grant 8,002,945 - Shannon , et al. August 23, 2
2011-08-23
Apparatus for multiple frequency power application
Grant 7,994,872 - Shannon , et al. August 9, 2
2011-08-09
Removal of surface dopants from a substrate
Grant 7,989,329 - Ramaswamy , et al. August 2, 2
2011-08-02
Plasma reactor with reduced electrical skew using electrical bypass elements
Grant 7,988,815 - Rauf , et al. August 2, 2
2011-08-02
Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
Grant 7,967,944 - Shannon , et al. June 28, 2
2011-06-28
Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
Grant 7,968,469 - Collins , et al. June 28, 2
2011-06-28
Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces
Grant 7,968,439 - Li , et al. June 28, 2
2011-06-28
Process for wafer backside polymer removal and wafer front side photoresist removal
Grant 7,967,996 - Collins , et al. June 28, 2
2011-06-28
Plasma process uniformity across a wafer by apportioning ground return path impedances among plural VHF sources
Grant 7,884,025 - Collins , et al. February 8, 2
2011-02-08
Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
Grant 7,879,731 - Collins , et al. February 1, 2
2011-02-01
Multi-diagnostic Apparatus For Substrate-level Measurements
App 20100327873A1 -
2010-12-30
Methods and apparatus for controlling characteristics of a plasma
Grant 7,777,599 - Shannon , et al. August 17, 2
2010-08-17
Plasma immersion ion implantation reactor having an ion shower grid
Grant 7,767,561 - Hanawa , et al. August 3, 2
2010-08-03
Substrate support having heat transfer system
Grant 7,768,765 - Nguyen , et al. August 3, 2
2010-08-03
Plasma immersed ion implantation process
Grant 7,732,309 - Li , et al. June 8, 2
2010-06-08
Plasma immersion ion implantation process using a plasma source having low dissociation and low minimum plasma voltage
Grant 7,700,465 - Collins , et al. April 20, 2
2010-04-20
Chemical vapor deposition plasma reactor having plural ion shower grids
Grant 7,695,590 - Hanawa , et al. April 13, 2
2010-04-13
RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
Grant 7,666,464 - Collins , et al. February 23, 2
2010-02-23
Semiconductor on insulator vertical transistor fabrication and doping process
Grant 7,642,180 - Al-Bayati , et al. January 5, 2
2010-01-05
Process for wafer backside polymer removal with a ring of plasma under the wafer
Grant 7,552,736 - Collins , et al. June 30, 2
2009-06-30
Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
Grant 7,531,469 - Ramaswamy , et al. May 12, 2
2009-05-12
Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
Grant 7,479,456 - Buchberger, Jr. , et al. January 20, 2
2009-01-20
Plasma immersion ion implantation process
Grant 7,465,478 - Collins , et al. December 16, 2
2008-12-16
Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
Grant 7,430,984 - Hanawa , et al. October 7, 2
2008-10-07
O-ringless tandem throttle valve for a plasma reactor chamber
Grant 7,428,915 - Nguyen , et al. September 30, 2
2008-09-30
Semiconductor substrate process using an optically writable carbon-containing mask
Grant 7,429,532 - Ramaswamy , et al. September 30, 2
2008-09-30
Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
Grant 7,422,775 - Ramaswamy , et al. September 9, 2
2008-09-09
Low temperature CVD process with selected stress of the CVD layer on CMOS devices
Grant 7,393,765 - Hanawa , et al. July 1, 2
2008-07-01
Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
Grant 7,335,611 - Ramaswamy , et al. February 26, 2
2008-02-26
Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
Grant 7,323,401 - Ramaswamy , et al. January 29, 2
2008-01-29
Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
Grant 7,320,734 - Collins , et al. January 22, 2
2008-01-22
Low temperature plasma deposition process for carbon layer deposition
Grant 7,312,162 - Ramaswamy , et al. December 25, 2
2007-12-25
Copper barrier reflow process employing high speed optical annealing
Grant 7,312,148 - Ramaswamy , et al. December 25, 2
2007-12-25
Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
Grant 7,303,982 - Collins , et al. December 4, 2
2007-12-04
Semiconductor on insulator vertical transistor fabrication and doping process
Grant 7,294,563 - Al-Bayati , et al. November 13, 2
2007-11-13
Electrostatic chuck with smart lift-pin mechanism for a plasma reactor
Grant 7,292,428 - Hanawa , et al. November 6, 2
2007-11-06
Chemical vapor deposition plasma process using plural ion shower grids
Grant 7,291,360 - Hanawa , et al. November 6, 2
2007-11-06
Plasma immersion ion implantation process using a capacitively couple plasma source having low dissociation and low minimum plasma voltage
Grant 7,291,545 - Collins , et al. November 6, 2
2007-11-06
Plasma immersion ion implantation process
Grant 7,288,491 - Collins , et al. October 30, 2
2007-10-30
Chemical vapor deposition plasma process using an ion shower grid
Grant 7,244,474 - Hanawa , et al. July 17, 2
2007-07-17
Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
Grant 7,223,676 - Hanawa , et al. May 29, 2
2007-05-29
Substrate support having heat transfer system
Grant 7,221,553 - Nguyen , et al. May 22, 2
2007-05-22
Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
Grant 7,183,177 - Al-Bayati , et al. February 27, 2
2007-02-27
Method for ion implanting insulator material to reduce dielectric constant
Grant 7,166,524 - Al-Bayati , et al. January 23, 2
2007-01-23
Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
Grant 7,137,354 - Collins , et al. November 21, 2
2006-11-21
Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
Grant 7,109,098 - Ramaswamy , et al. September 19, 2
2006-09-19
Externally excited torroidal plasma source
Grant 7,094,316 - Hanawa , et al. August 22, 2
2006-08-22
Plasma immersion ion implantation process
Grant 7,094,670 - Collins , et al. August 22, 2
2006-08-22
Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
Grant 7,037,813 - Collins , et al. May 2, 2
2006-05-02
Externally excited torroidal plasma source with magnetic control of ion distribution
Grant 6,939,434 - Collins , et al. September 6, 2
2005-09-06
Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
Grant 6,893,907 - Maydan , et al. May 17, 2
2005-05-17
RF power delivery for plasma processing using modulated power signal
Grant 6,726,804 - Wang , et al. April 27, 2
2004-04-27
Method and apparatus for improving exhaust gas consumption in an exhaust conduit
Grant 6,642,489 - Ramaswamy , et al. November 4, 2
2003-11-04
Externally excited torroidal plasma source with a gas distribution plate
Grant 6,551,446 - Hanawa , et al. April 22, 2
2003-04-22
Externally excited multiple torroidal plasma source
Grant 6,494,986 - Hanawa , et al. December 17, 2
2002-12-17
Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
Grant 6,468,388 - Hanawa , et al. October 22, 2
2002-10-22
Externally excited torroidal plasma source using a gas distribution plate
Grant 6,453,842 - Hanawa , et al. September 24, 2
2002-09-24

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed