loadpatents
name:-0.12835788726807
name:-0.093959093093872
name:-0.041826009750366
Paterson; Alex Patent Filings

Paterson; Alex

Patent Applications and Registrations

Patent applications and USPTO patent grants for Paterson; Alex.The latest application filed is for "rf pulsing within pulsing for semiconductor rf plasma processing".

Company Profile
44.91.108
  • Paterson; Alex - San Jose CA
  • Paterson; Alex - Fremont CA
  • Paterson; Alex - Parma ID
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Frequency tuning for a matchless plasma source
Grant 11,437,219 - Long , et al. September 6, 2
2022-09-06
Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
Grant 11,424,103 - Zhang , et al. August 23, 2
2022-08-23
Rf Pulsing Within Pulsing For Semiconductor Rf Plasma Processing
App 20220254608 - Long; Maolin ;   et al.
2022-08-11
RF pulsing within pulsing for semiconductor RF plasma processing
Grant 11,342,159 - Long , et al. May 24, 2
2022-05-24
Matchless Plasma Source For Semiconductor Wafer Fabrication
App 20220117074 - Long; Maolin ;   et al.
2022-04-14
Matchless plasma source for semiconductor wafer fabrication
Grant 11,224,116 - Long , et al. January 11, 2
2022-01-11
Internal plasma grid for semiconductor fabrication
Grant 11,171,021 - Singh , et al. November 9, 2
2021-11-09
Systems And Methods For Achieving Peak Ion Energy Enhancement With A Low Angular Spread
App 20210313149 - Shoeb; Juline ;   et al.
2021-10-07
Integrated Atomic Layer Passivation In Tcp Etch Chamber And In-situ Etch-alp Method
App 20210287909 - Zhou; Xiang ;   et al.
2021-09-16
Systems for removing and replacing consumable parts from a semiconductor process module in situ
Grant 11,112,773 - Trussell , et al. September 7, 2
2021-09-07
Systems and methods for achieving peak ion energy enhancement with a low angular spread
Grant 11,049,693 - Shoeb , et al. June 29, 2
2021-06-29
Edge Ring Arrangement With Moveable Edge Rings
App 20210183687 - Yan; Haoquan ;   et al.
2021-06-17
Integrated atomic layer passivation in TCP etch chamber and in-situ etch-ALP method
Grant 10,950,454 - Zhou , et al. March 16, 2
2021-03-16
Rf Pulsing Within Pulsing For Semiconductor Rf Plasma Processing
App 20200335305 - Long; Maolin ;   et al.
2020-10-22
Air Cooled Faraday Shield and Methods for Using the Same
App 20200318852 - Sriraman; Saravanapriyan ;   et al.
2020-10-08
RF voltage sensor incorporating multiple voltage dividers for detecting RF voltages at a pickup device of a substrate support
Grant 10,784,083 - Long , et al. Sept
2020-09-22
Frequency Tuning for a Matchless Plasma Source
App 20200286713 - Long; Maolin ;   et al.
2020-09-10
Automated replacement of consumable parts using interfacing chambers
Grant 10,770,339 - Genetti , et al. Sep
2020-09-08
Multi-level pulsing of DC and RF signals
Grant 10,755,896 - Shoeb , et al. A
2020-08-25
Matchless Plasma Source For Semiconductor Wafer Fabrication
App 20200253034 - Kind Code
2020-08-06
Systems and methods for transformer coupled plasma pulsing with transformer coupled capacitive tuning switching
Grant 10,734,195 - Long , et al.
2020-08-04
Radiofrequency (RF) filter for multi-frequency RF bias
Grant 10,715,095 - Long , et al.
2020-07-14
Air cooled faraday shield and methods for using the same
Grant 10,690,374 - Sriraman , et al.
2020-06-23
Systems and methods for applying frequency and match tuning in a non-overlapping manner for processing substrate
Grant 10,679,825 - Wu , et al.
2020-06-09
Multi-level Parameter And Frequency Pulsing With A Low Angular Spread
App 20200176222 - Shoeb; Juline ;   et al.
2020-06-04
Frequency tuning for a matchless plasma source
Grant 10,672,590 - Long , et al.
2020-06-02
Multi-level Pulsing Of Dc And Rf Signals
App 20200168438 - Shoeb; Juline ;   et al.
2020-05-28
Moveable edge coupling ring for edge process control during semiconductor wafer processing
Grant 10,658,222 - Yan , et al.
2020-05-19
Cathode RF asymmetry detection probe for semiconductor RF plasma processing equipment
Grant 10,649,006 - Long , et al.
2020-05-12
Matchless plasma source for semiconductor wafer fabrication
Grant 10,638,593 - Long , et al.
2020-04-28
Temperature control in RF chamber with heater and air amplifier
Grant 10,600,620 - McChesney , et al.
2020-03-24
Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
Grant 10,585,347 - Sriraman , et al.
2020-03-10
Multi-level pulsing of DC and RF signals
Grant 10,580,618 - Shoeb , et al.
2020-03-03
Multi-level parameter and frequency pulsing with a low angular spread
Grant 10,573,494 - Shoeb , et al. Feb
2020-02-25
Control Of On-wafer Cd Uniformity With Movable Edge Ring And Gas Injection Adjustment
App 20190362940 - Zhang; Yiting ;   et al.
2019-11-28
Systems And Methods For Achieving Peak Ion Energy Enhancement With A Low Angular Spread
App 20190362942 - Shoeb; Juline ;   et al.
2019-11-28
Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
Grant 10,460,951 - Sriraman , et al. Oc
2019-10-29
Automated replacement of consumable parts using end effectors interfacing with plasma processing system
Grant 10,427,307 - Genetti , et al. October 1, 2
2019-10-01
Powered grid for plasma chamber
Grant 10,431,434 - Long , et al. O
2019-10-01
Gas plenum arrangement for improving etch non-uniformity in transformer-coupled plasma systems
Grant 10,431,426 - Kamp , et al. O
2019-10-01
Multi-level Parameter And Frequency Pulsing With A Low Angular Spread
App 20190295820 - Shoeb; Juline ;   et al.
2019-09-26
Multi-level Pulsing Of Dc And Rf Signals
App 20190295821 - Shoeb; Juline ;   et al.
2019-09-26
Controlling ion energy within a plasma chamber
Grant 10,424,461 - Lill , et al. Sept
2019-09-24
Frequency Tuning for a Matchless Plasma Source
App 20190287764 - Long; Maolin ;   et al.
2019-09-19
Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
Grant 10,410,832 - Zhang , et al. Sept
2019-09-10
Systems and methods for achieving peak ion energy enhancement with a low angular spread
Grant 10,395,894 - Shoeb , et al. A
2019-08-27
Methods and apparatuses for etch profile matching by surface kinetic model optimization
Grant 10,386,828 - Tetiker , et al. A
2019-08-20
Automated Replacement of Consumable Parts Using Interfacing Chambers
App 20190252234 - Genetti; Damon Tyrone ;   et al.
2019-08-15
Photoresist Design Layout Pattern Proximity Correction Through Fast Edge Placement Error Prediction Via A Physics-based Etch Pro
App 20190250501 - Sriraman; Saravanapriyan ;   et al.
2019-08-15
Gas distribution system for ceramic showerhead of plasma etch reactor
Grant 10,366,865 - Kang , et al. July 30, 2
2019-07-30
Matchless Plasma Source For Semiconductor Wafer Fabrication
App 20190215942 - Long; Maolin ;   et al.
2019-07-11
Plasma processing systems including side coils and methods related to the plasma processing systems
Grant 10,340,121 - Long , et al.
2019-07-02
Systems and methods for reversing RF current polarity at one output of a multiple output RF matching network
Grant 10,332,725 - Sato , et al.
2019-06-25
Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
Grant 10,303,830 - Tetiker , et al.
2019-05-28
Multi-level pulsing of DC and RF signals
Grant 10,304,660 - Shoeb , et al.
2019-05-28
Automated replacement of consumable parts using interfacing chambers
Grant 10,304,717 - Genetti , et al.
2019-05-28
Systems and Methods for Applying Frequency and Match Tuning in a Non-Overlapping Manner for Processing Substrate
App 20190148114 - Wu; Ying ;   et al.
2019-05-16
Matchless Plasma Source for Semiconductor Wafer Fabrication
App 20190116656 - Long; Maolin ;   et al.
2019-04-18
Matchless plasma source for semiconductor wafer fabrication
Grant 10,264,663 - Long , et al.
2019-04-16
Radiofrequency (RF) Filter for Multi-Frequency RF Bias
App 20190109576 - Long; Maolin ;   et al.
2019-04-11
Cathode Rf Asymmetry Detection Probe For Semiconductor Rf Plasma Processing Equipment
App 20190107558 - Long; Maolin ;   et al.
2019-04-11
Controlling Ion Energy Within A Plasma Chamber
App 20190103253 - Lill; Thorsten ;   et al.
2019-04-04
Rotating RF electric field antenna for uniform plasma generation
Grant 10,242,844 - McChesney , et al.
2019-03-26
Near-substrate supplemental plasma density generation with low bias voltage within inductively coupled plasma processing chamber
Grant 10,242,845 - Tan , et al.
2019-03-26
Multi-level parameter and frequency pulsing with a low angular spread
Grant 10,224,183 - Shoeb , et al.
2019-03-05
Internal plasma grid for semiconductor fabrication
Grant 10,224,221 - Singh , et al.
2019-03-05
Systems And Methods For Achieving Peak Ion Energy Enhancement With A Low Angular Spread
App 20190066979 - Shoeb; Juline ;   et al.
2019-02-28
Rf Voltage Sensor Incorporating Multiple Voltage Dividers For Detecting Rf Voltages At A Pickup Device Of A Substrate Support
App 20190051497 - Long; Maolin ;   et al.
2019-02-14
Methods And Apparatuses For Etch Profile Optimization By Reflectance Spectra Matching And Surface Kinetic Model Optimization
App 20190049937 - Tetiker; Mehmet Derya ;   et al.
2019-02-14
Integrated Atomic Layer Passivation In Tcp Etch Chamber And In-situ Etch-alp Method
App 20190043728 - Zhou; Xiang ;   et al.
2019-02-07
Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
Grant 10,197,908 - Sriraman , et al. Fe
2019-02-05
Moveable Edge Ring Design
App 20190013232 - YAN; Haoquan ;   et al.
2019-01-10
Systems And Methods For Transformer Coupled Plasma Pulsing With Transformer Coupled Capacitive Tuning Switching
App 20180358205 - Long; Maolin ;   et al.
2018-12-13
Hollow RF feed with coaxial DC power feed
Grant 10,153,136 - Augustino , et al. Dec
2018-12-11
Controlling ion energy within a plasma chamber
Grant 10,141,163 - Lill , et al. Nov
2018-11-27
Automated replacement of consumable parts using end effectors interfacing with plasma processing system
Grant 10,124,492 - Genetti , et al. November 13, 2
2018-11-13
Large dynamic range RF voltage sensor and method for voltage mode RF bias application of plasma processing systems
Grant 10,121,641 - Long , et al. November 6, 2
2018-11-06
Methods And Apparatuses For Etch Profile Optimization By Reflectance Spectra Matching And Surface Kinetic Model Optimization
App 20180260509 - Tetiker; Mehmet Derya ;   et al.
2018-09-13
Automated replacement of consumable parts using interfacing chambers
Grant 10,062,599 - Genetti , et al. August 28, 2
2018-08-28
Front opening ring pod
Grant 10,062,589 - Wong , et al. August 28, 2
2018-08-28
Front opening ring pod
Grant 10,062,590 - Wong , et al. August 28, 2
2018-08-28
Gas Reaction Trajectory Control Through Tunable Plasma Dissociation For Wafer By-product Distribution And Etch Feature Profile Uniformity
App 20180240677 - Sriraman; Saravanapriyan ;   et al.
2018-08-23
TCCT match circuit for plasma etch chambers
Grant 10,056,231 - Long , et al. August 21, 2
2018-08-21
Powered Grid for Plasma Chamber
App 20180226233 - Long; Maolin ;   et al.
2018-08-09
Near-Substrate Supplemental Plasma Density Generation with Low Bias Voltage within Inductively Coupled Plasma Processing Chamber
App 20180204708 - Tan; Zhongkui ;   et al.
2018-07-19
Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
Grant 9,996,647 - Tetiker , et al. June 12, 2
2018-06-12
Air Cooled Faraday Shield and Methods for Using the Same
App 20180156489 - Sriraman; Saravanapriyan ;   et al.
2018-06-07
Systems for cooling RF heated chamber components
Grant 9,978,565 - McChesney , et al. May 22, 2
2018-05-22
Gas reaction trajectory control through tunable plasma dissociation for wafer by-product distribution and etch feature profile uniformity
Grant 9,966,270 - Sriraman , et al. May 8, 2
2018-05-08
Powered grid for plasma chamber
Grant 9,966,236 - Long , et al. May 8, 2
2018-05-08
Gas distribution showerhead for inductively coupled plasma etch reactor
Grant 9,934,979 - Kang , et al. April 3, 2
2018-04-03
Front Opening Ring Pod
App 20180068879 - Wong; Scott ;   et al.
2018-03-08
Control Of On-wafer Cd Uniformity With Movable Edge Ring And Gas Injection Adjustment
App 20180053629 - Zhang; Yiting ;   et al.
2018-02-22
Front Opening Ring Pod
App 20180040492 - Wong; Scott ;   et al.
2018-02-08
Air cooled faraday shield and methods for using the same
Grant 9,885,493 - Sriraman , et al. February 6, 2
2018-02-06
Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
App 20180032062 - Trussell; David D. ;   et al.
2018-02-01
Front opening ring pod
Grant 9,881,820 - Wong , et al. January 30, 2
2018-01-30
Front Opening Ring Pod
App 20180019142 - Wong; Scott ;   et al.
2018-01-18
Methods And Apparatuses For Etch Profile Optimization By Reflectance Spectra Matching And Surface Kinetic Model Optimization
App 20170371991 - Tetiker; Mehmet Derya ;   et al.
2017-12-28
Systems and Methods for Reverse Pulsing
App 20170372912 - Long; Maolin ;   et al.
2017-12-28
Photoresist Design Layout Pattern Proximity Correction Through Fast Edge Placement Error Prediction Via A Physics-based Etch Profile Modeling Framework
App 20170363950 - Sriraman; Saravanapriyan ;   et al.
2017-12-21
Automated Replacement of Consumable Parts Using End Effectors Interfacing with Plasma Processing System
App 20170334074 - Genetti; Damon Tyrone ;   et al.
2017-11-23
Automated Replacement of Consumable Parts Using Interfacing Chambers
App 20170330786 - Genetti; Damon Tyrone ;   et al.
2017-11-16
Electrostatic chuck with thermal choke
Grant 9,805,963 - Long , et al. October 31, 2
2017-10-31
Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
Grant 9,792,393 - Tetiker , et al. October 17, 2
2017-10-17
Systems and methods for reverse pulsing
Grant 9,761,459 - Long , et al. September 12, 2
2017-09-12
Methods And Apparatuses For Etch Profile Optimization By Reflectance Spectra Matching And Surface Kinetic Model Optimization
App 20170228482 - Tetiker; Mehmet Derya ;   et al.
2017-08-10
Methods And Apparatuses For Etch Profile Matching By Surface Kinetic Model Optimization
App 20170176983 - Tetiker; Mehmet Derya ;   et al.
2017-06-22
Chamber filler kit for plasma etch chamber useful for fast gas switching
Grant 9,679,751 - McChesney , et al. June 13, 2
2017-06-13
Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
App 20170115657 - Trussell; David D. ;   et al.
2017-04-27
Automated Replacement of Consumable Parts Using End Effectors Interfacing with Plasma Processing System
App 20170113355 - Genetti; Damon Tyrone ;   et al.
2017-04-27
Automated Replacement of Consumable Parts Using Interfacing Chambers
App 20170117172 - Genetti; Damon Tyrone ;   et al.
2017-04-27
Front Opening Ring Pod
App 20170117170 - Wong; Scott ;   et al.
2017-04-27
Internal plasma grid applications for semiconductor fabrication
Grant 9,633,846 - Paterson , et al. April 25, 2
2017-04-25
Temperature Control in RF Chamber with Heater and Air Amplifier
App 20170103875 - McChesney; Jon ;   et al.
2017-04-13
Electrostatic Chuck With Thermal Choke
App 20170098566 - Long; Maolin ;   et al.
2017-04-06
Systems and methods for reverse pulsing
Grant 9,583,357 - Long , et al. February 28, 2
2017-02-28
Systems And Methods For Reverse Pulsing
App 20170040174 - Long; Maolin ;   et al.
2017-02-09
Hollow Rf Feed With Coaxial Dc Power Feed
App 20170040148 - Augustino; Jason ;   et al.
2017-02-09
Systems And Methods For Reverse Pulsing
App 20170040176 - Long; Maolin ;   et al.
2017-02-09
Gas Plenum Arrangement For Improving Etch Non-uniformity In Transformer-coupled Plasma Systems
App 20170032931 - KAMP; Tom ;   et al.
2017-02-02
Controlling Ion Energy Within A Plasma Chamber
App 20160379804 - Lill; Thorsten ;   et al.
2016-12-29
Temperature control in RF chamber with heater and air amplifier
Grant 9,530,656 - McChesney , et al. December 27, 2
2016-12-27
Large Dynamic Range Rf Voltage Sensor And Method For Voltage Mode Rf Bias Application Of Plasma Processing Systems
App 20160358755 - Long; Maolin ;   et al.
2016-12-08
Transformer coupled capacitive tuning circuit with fast impedance switching for plasma etch chambers
Grant 9,515,633 - Long , et al. December 6, 2
2016-12-06
Internal Faraday shield having distributed chevron patterns and correlated positioning relative to external inner and outer TCP coil
Grant 9,490,106 - Drewery , et al. November 8, 2
2016-11-08
Systems and methods for improving wafer etch non-uniformity when using transformer-coupled plasma
Grant 9,484,214 - Kamp , et al. November 1, 2
2016-11-01
Gas Reaction Trajectory Control Through Tunable Plasma Dissociation For Wafer By-product Distribution And Etch Feature Profile Uniformity
App 20160293431 - Sriraman; Saravanapriyan ;   et al.
2016-10-06
Systems And Methods For Reversing Rf Current Polarity At One Output Of A Multpile Output Rf Matching Network
App 20160293382 - Sato; Arthur H. ;   et al.
2016-10-06
Controlling ion energy within a plasma chamber
Grant 9,460,894 - Lill , et al. October 4, 2
2016-10-04
Plasma Processing Systems Including Side Coils And Methods Related To The Plasma Processing Systems
App 20160225584 - LONG; Maolin ;   et al.
2016-08-04
Gas Distribution System For Ceramic Showerhead of Plasma Etch Reactor
App 20160217977 - Kang; Michael ;   et al.
2016-07-28
Moveable Edge Coupling Ring For Edge Process Control During Semiconductor Wafer Processing
App 20160211166 - Yan; Haoquan ;   et al.
2016-07-21
Ion Beam Etching System
App 20160211156 - Singh; Harmeet ;   et al.
2016-07-21
Moveable Edge Coupling Ring For Edge Process Control During Semiconductor Wafer Processing
App 20160211165 - McChesney; Jon ;   et al.
2016-07-21
Internal Plasma Grid For Semiconductor Fabrication
App 20160203990 - Singh; Harmeet ;   et al.
2016-07-14
Hammerhead TCP coil support for high RF power conductor etch systems
Grant 9,384,948 - Long , et al. July 5, 2
2016-07-05
Internal Plasma Grid For Semiconductor Fabrication
App 20160181130 - Singh; Harmeet ;   et al.
2016-06-23
Faraday Shield Having Plasma Density Decoupling Structure Between TCP Coil Zones
App 20160163569 - Long; Maolin ;   et al.
2016-06-09
Internal Plasma Grid For Semiconductor Fabrication
App 20160141188 - Singh; Harmeet ;   et al.
2016-05-19
Plasma processing systems including side coils and methods related to the plasma processing systems
Grant 9,336,996 - Long , et al. May 10, 2
2016-05-10
Rotating RF Electric Field Antenna For Uniform Plasma Generation
App 20160093471 - McChesney; Jon ;   et al.
2016-03-31
Internal Plasma Grid Applications For Semiconductor Fabrication
App 20160086795 - Paterson; Alex ;   et al.
2016-03-24
Ion beam etching system
Grant 9,257,295 - Singh , et al. February 9, 2
2016-02-09
Internal plasma grid for semiconductor fabrication
Grant 9,245,761 - Singh , et al. January 26, 2
2016-01-26
Gas distribution system for ceramic showerhead of plasma etch reactor
Grant 9,245,717 - Kang , et al. January 26, 2
2016-01-26
Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
Grant 9,230,819 - Paterson , et al. January 5, 2
2016-01-05
Gas Distribution Showerhead For Inductively Coupled Plasma Etch Reactor
App 20150318147 - KANG; Michael ;   et al.
2015-11-05
Tcct Match Circuit For Plasma Etch Chambers
App 20150235810 - Long; Maolin ;   et al.
2015-08-20
Systems And Methods For Improving Wafer Etch Non-uniformity When Using Transformer-coupled Plasma
App 20150235808 - Kamp; Tom ;   et al.
2015-08-20
Gas distribution showerhead for inductively coupled plasma etch reactor
Grant 9,099,398 - Kang , et al. August 4, 2
2015-08-04
Ion Beam Etching System
App 20150179465 - Singh; Harmeet ;   et al.
2015-06-25
TCCT match circuit for plasma etch chambers
Grant 9,059,678 - Long , et al. June 16, 2
2015-06-16
Ion beam etching system
Grant 9,017,526 - Singh , et al. April 28, 2
2015-04-28
Air Cooled Faraday Shield and Methods for Using the Same
App 20150020969 - Sriraman; Saravanapriyan ;   et al.
2015-01-22
Ion Beam Etching System
App 20150011093 - Singh; Harmeet ;   et al.
2015-01-08
Controlling Ion Energy Within A Plasma Chamber
App 20150002018 - Lill; Thorsten ;   et al.
2015-01-01
Hammerhead TCP Coil Support for High RF Power Conductor Etch Systems
App 20140367045 - Long; Maolin ;   et al.
2014-12-18
Internal Plasma Grid For Semiconductor Fabrication
App 20140302680 - Singh; Harmeet ;   et al.
2014-10-09
Internal Plasma Grid Applications For Semiconductor Fabrication
App 20140302678 - Paterson; Alex ;   et al.
2014-10-09
Internal Plasma Grid For Semiconductor Fabrication
App 20140302681 - Paterson; Alex ;   et al.
2014-10-09
Gas Distribution Showerhead For Inductively Coupled Plasma Etch Reactor
App 20140065827 - Kang; Michael ;   et al.
2014-03-06
Gas distribution showerhead for inductively coupled plasma etch reactor
Grant 8,562,785 - Kang , et al. October 22, 2
2013-10-22
Methods And Apparatuses For Controlling Plasma In A Plasma Processing Chamber
App 20130256271 - Panagopoulos; Theodoros ;   et al.
2013-10-03
Chamber Filler Kit For Plasma Etch Chamber Useful For Fast Gas Switching
App 20130244440 - McChesney; Jon ;   et al.
2013-09-19
Temperature Control in RF Chamber with Heater and Air Amplifier
App 20130228283 - McChesney; Jon ;   et al.
2013-09-05
Faraday Shield Having Plasma Density Decoupling Structure Between TCP Coil Zones
App 20130186568 - Long; Maolin ;   et al.
2013-07-25
Tcct Match Circuit For Plasma Etch Chambers
App 20130135058 - Long; Maolin ;   et al.
2013-05-30
Systems For Cooling RF Heated Chamber Components
App 20130087283 - McChesney; Jon ;   et al.
2013-04-11
Powered Grid For Plasma Chamber
App 20120322270 - Long; Maolin ;   et al.
2012-12-20
Gas Distribution Showerhead For Inductively Coupled Plasma Etch Reactor
App 20120309204 - Kang; Michael ;   et al.
2012-12-06
Gas Distribution System For Ceramic Showerhead Of Plasma Etch Reactor
App 20120305190 - Kang; Michael ;   et al.
2012-12-06
Internal Faraday Shield Having Distributed Chevron Patterns and Correlated Positioning Relative to External Inner and Outer TCP Coil
App 20120273130 - Drewery; John ;   et al.
2012-11-01
Plasma Processing Systems Including Side Coils And Methods Related To The Plasma Processing Systems
App 20120217222 - Long; Maolin ;   et al.
2012-08-30
Integrated circuit memory cells and methods of forming
Grant 7,605,034 - Paterson October 20, 2
2009-10-20
Methods of forming memory cells
Grant 7,473,596 - Paterson January 6, 2
2009-01-06
Integrated circuit memory cells and methods of forming
Grant 7,453,112 - Paterson November 18, 2
2008-11-18
Plasma generation and control using a dual frequency RF source
Grant 7,431,857 - Shannon , et al. October 7, 2
2008-10-07
Plasma Generation And Control Using A Dual Frequency Rf Source
App 20070006971 - Shannon; Steven C. ;   et al.
2007-01-11
Integrated circuit memory cells and methods of forming
App 20060258083 - Paterson; Alex
2006-11-16
Methods Of Forming Memory Cells
App 20050133844 - Paterson, Alex
2005-06-23
Integrated circuit memory cells and methods of forming
App 20050133845 - Paterson, Alex
2005-06-23
Plasma generation and control using a dual frequency RF source
App 20050034816 - Shannon, Steven C. ;   et al.
2005-02-17
Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
Grant 6,727,655 - McChesney , et al. April 27, 2
2004-04-27
Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
App 20030082835 - McChesney, Jon ;   et al.
2003-05-01
Method For Providing Pulsed Plasma During A Portion Of A Semiconductor Wafer Process
App 20020052111 - PATERSON, ALEX ;   et al.
2002-05-02

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed