loadpatents
name:-0.3794469833374
name:-0.30721998214722
name:-0.068765878677368
Narayanan; Vijay Patent Filings

Narayanan; Vijay

Patent Applications and Registrations

Patent applications and USPTO patent grants for Narayanan; Vijay.The latest application filed is for "topological semi-metal interconnects".

Company Profile
52.200.200
  • Narayanan; Vijay - New York NY
  • Narayanan; Vijay - Armonk NY
  • Narayanan; Vijay - Mountain View CA
  • NARAYANAN; Vijay - New Yoark NY
  • Narayanan; Vijay - Yorktown Heights NY
  • Narayanan; Vijay - US
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Multi-metal dipole doping to offer multi-threshold voltage pairs without channel doping for highly scaling CMOS device
Grant 11,393,725 - Bao , et al. July 19, 2
2022-07-19
Topological Semi-metal Interconnects
App 20220157733 - Chen; Ching-Tzu ;   et al.
2022-05-19
Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS back-end
Grant 11,244,999 - Frank , et al. February 8, 2
2022-02-08
Device with pure silicon oxide layer on silicon-germanium layer
Grant 11,217,450 - Ando , et al. January 4, 2
2022-01-04
Encryption engine with an undetectable/tamper-proof private key in late node CMOS technology
Grant 11,216,595 - Boivie , et al. January 4, 2
2022-01-04
Multi-metal dipole doping to offer multi-threshold voltage pairs without channel doping for highly scaling CMOS device
Grant 11,195,762 - Bao , et al. December 7, 2
2021-12-07
Conformal replacement gate electrode for short channel devices
Grant 11,195,929 - Ando , et al. December 7, 2
2021-12-07
Resistive switching memory with replacement metal electrode
Grant 11,158,795 - Ando , et al. October 26, 2
2021-10-26
Structures and methods for equivalent oxide thickness scaling on silicon germanium channel or III-V channel of semiconductor device
Grant 11,152,214 - Ando , et al. October 19, 2
2021-10-19
Surface area enhancement for stacked metal-insulator-metal (MIM) capacitor
Grant 11,121,209 - Ando , et al. September 14, 2
2021-09-14
Rram Structures In The Beol
App 20210280638 - Li; Baozhen ;   et al.
2021-09-09
Method For Controlling The Forming Voltage In Resistive Random Access Memory Devices
App 20210234096 - Consiglio; Steven ;   et al.
2021-07-29
High-resistance memory devices
Grant 11,043,535 - Ando , et al. June 22, 2
2021-06-22
Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages
Grant 11,031,301 - Bao , et al. June 8, 2
2021-06-08
Encryption engine with an undetectable/tamper proof private key in late node CMOS technology
Grant 10,997,321 - Boivie , et al. May 4, 2
2021-05-04
Method for controlling the forming voltage in resistive random access memory devices
Grant 10,991,881 - Consiglio , et al. April 27, 2
2021-04-27
Self-aligned Metal Gate With Poly Silicide For Vertical Transport Field-effect Transistors
App 20210118881 - Anderson; Brent A. ;   et al.
2021-04-22
Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages
Grant 10,985,075 - Bao , et al. April 20, 2
2021-04-20
Surface area enhancement for stacked metal-insulator-metal (MIM) capacitor
Grant 10,978,551 - Ando , et al. April 13, 2
2021-04-13
Self-aligned metal gate with poly silicide for vertical transport field-effect transistors
Grant 10,957,696 - Anderson , et al. March 23, 2
2021-03-23
Three-terminal copper-driven neuromorphic device
Grant 10,957,937 - Todorov , et al. March 23, 2
2021-03-23
Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
Grant 10,916,432 - Ando , et al. February 9, 2
2021-02-09
Oxygen vacancy and filament-loss protection for resistive switching devices
Grant 10,903,425 - Ando , et al. January 26, 2
2021-01-26
Gate first technique in vertical transport FET using doped silicon gates with silicide
Grant 10,892,339 - Bao , et al. January 12, 2
2021-01-12
Method For Controlling The Forming Voltage In Resistive Random Access Memory Devices
App 20200381624 - Consiglio; Steven ;   et al.
2020-12-03
Fast recrystallization of hafnium or zirconium based oxides in insulator-metal structures
Grant 10,833,150 - Frank , et al. November 10, 2
2020-11-10
Gate First Technique In Vertical Transport Fet Using Doped Silicon Gates With Silicide
App 20200295147 - BAO; RUQIANG ;   et al.
2020-09-17
Three-Terminal Copper-Driven Neuromorphic Device
App 20200287236 - Todorov; Teodor K. ;   et al.
2020-09-10
Shared metal gate stack with tunable work function
Grant 10,756,194 - Bao , et al. A
2020-08-25
Resistive Switching Memory With Replacement Metal Electrode
App 20200263620 - Ando; Takashi ;   et al.
2020-08-20
Resistive switching memory with replacement metal electrode
Grant 10,727,407 - Ando , et al.
2020-07-28
Oxygen-Free Plasma Etching For Contact Etching of Resistive Random Access Memory
App 20200203607 - Koty; Devi ;   et al.
2020-06-25
Protection of high-K dielectric during reliability anneal on nanosheet structures
Grant 10,692,985 - Loubet , et al.
2020-06-23
Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS front-end
Grant 10,686,040 - Frank , et al.
2020-06-16
Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS front-end
Grant 10,686,039 - Frank , et al.
2020-06-16
Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
Grant 10,672,671 - Ando , et al.
2020-06-02
Ferroelectric gate dielectric with scaled interfacial layer for steep sub-threshold slope field-effect transistor
Grant 10,672,881 - Ando , et al.
2020-06-02
Structure and method for multiple threshold voltage definition in advanced CMOS device technology
Grant 10,636,792 - Jagannathan , et al.
2020-04-28
Gate Formation Scheme For N-type And P-type Transistors Having Separately Tuned Threshold Voltages
App 20200118888 - Bao; Ruqiang ;   et al.
2020-04-16
Gate Formation Scheme For N-type And P-type Transistors Having Separately Tuned Threshold Voltages
App 20200118889 - Bao; Ruqiang ;   et al.
2020-04-16
Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
Grant 10,615,043 - Ando , et al.
2020-04-07
Tapered metal nitride structure
Grant 10,615,250 - Frank , et al.
2020-04-07
Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
Grant 10,593,600 - Ando , et al.
2020-03-17
Oxygen Vacancy And Filament-loss Protection For Resistive Switching Devices
App 20200075860 - Ando; Takashi ;   et al.
2020-03-05
Patterned Gate Dielectrics For Iii-v-based Cmos Circuits
App 20200066724 - Ando; Takashi ;   et al.
2020-02-27
Conformal Replacement Gate Electrode For Short Channel Devices
App 20200066859 - Ando; Takashi ;   et al.
2020-02-27
Resistive Switching Memory With Replacement Metal Electrode
App 20200052207 - Ando; Takashi ;   et al.
2020-02-13
Patterned gate dielectrics for III-V-based CMOS circuits
Grant 10,553,584 - Ando , et al. Fe
2020-02-04
Multi-metal dipole doping to offer multi-threshold voltage pairs without channel doping for highly scaling CMOS device
Grant 10,546,787 - Bao , et al. Ja
2020-01-28
Disposable laser/flash anneal absorber for embedded neuromorphic memory device fabrication
Grant 10,541,151 - Lee , et al. Ja
2020-01-21
Multi-metal Dipole Doping To Offer Multi-threshold Voltage Pairs Without Channel Doping For Highly Scaling Cmos Device
App 20200020594 - Bao; Ruqiang ;   et al.
2020-01-16
Multi-metal Dipole Doping To Offer Multi-threshold Voltage Pairs Without Channel Doping For Highly Scaling Cmos Device
App 20200020595 - Bao; Ruqiang ;   et al.
2020-01-16
Disposable Laser/flash Anneal Absorber For Embedded Neuromorphic Memory Device Fabrication
App 20200020542 - Lee; Kam-Leung ;   et al.
2020-01-16
Fast Recrystallization Of Hafnium Or Zirconium Based Oxides In Insulator-metal Structures
App 20200020762 - Frank; Martin M. ;   et al.
2020-01-16
Encryption Engine With An Undetectable/tamper-proof Private Key In Late Node Cmos Technology
App 20200019731 - Boivie; Richard H. ;   et al.
2020-01-16
Encryption Engine With An Undetectable/tamper-proof Private Key In Late Node Cmos Technology
App 20200019732 - Boivie; Richard H. ;   et al.
2020-01-16
Formation Of Pure Silicon Oxide Interfacial Layer On Silicon-germanium Channel Field Effect Transistor Device
App 20200020539 - Ando; Takashi ;   et al.
2020-01-16
Conformal replacement gate electrode for short channel devices
Grant 10,529,815 - Ando , et al. J
2020-01-07
Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
Grant 10,529,573 - Ando , et al. J
2020-01-07
Distinct gate stacks for III-V-based CMOS circuits comprising a channel cap
Grant 10,504,799 - Ando , et al. Dec
2019-12-10
Multi-metal Dipole Doping To Offer Multi-threshold Voltage Pairs Without Channel Doping For Highly Scaling Cmos Device
App 20190371676 - Bao; Ruqiang ;   et al.
2019-12-05
Formation Of Pure Silicon Oxide Interfacial Layer On Silicon-germanium Channel Field Effect Transistor Device
App 20190371611 - Ando; Takashi ;   et al.
2019-12-05
Artificial Synapse with Hafnium Oxide-Based Ferroelectric Layer in CMOS Back-End
App 20190326387 - Frank; Martin M. ;   et al.
2019-10-24
Encryption engine with an undetectable/tamper-proof private key in late node CMOS technology
Grant 10,423,805 - Boivie , et al. Sept
2019-09-24
Structure And Method For Multiple Threshold Voltage Definition In Advanced Cmos Device Technology
App 20190287970 - Jagannathan; Hemanth ;   et al.
2019-09-19
Patterned gate dielectrics for III-V-based CMOS circuits
Grant 10,396,077 - Ando , et al. A
2019-08-27
Structure and method for multiple threshold voltage definition in advanced CMOS device technology
Grant 10,396,076 - Jagannathan , et al. A
2019-08-27
Artificial Synapse with Hafnium Oxide-Based Ferroelectric Layer in CMOS Front-End
App 20190252500 - Frank; Martin M. ;   et al.
2019-08-15
Artificial Synapse with Hafnium Oxide-Based Ferroelectric Layer in CMOS Front-End
App 20190252499 - Frank; Martin M. ;   et al.
2019-08-15
Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS back-end
Grant 10,381,431 - Frank , et al. A
2019-08-13
Ferroelectric Devices Free Of Extended Grain Boundaries
App 20190245056 - Bruley; John ;   et al.
2019-08-08
Method to improve reliability of replacement gate device
Grant 10,361,281 - Ando , et al.
2019-07-23
Formation Of Full Metal Gate To Suppress Interficial Layer Growth
App 20190198500 - BAO; RUQIANG ;   et al.
2019-06-27
Stacked capacitor with symmetric leakage and break-down behaviors
Grant 10,332,957 - Ando , et al.
2019-06-25
Integrated metal gate CMOS devices
Grant 10,332,883 - Bao , et al.
2019-06-25
Protection Of High-k Dielectric During Reliability Anneal On Nanosheet Structures
App 20190189766 - Loubet; Nicolas J. ;   et al.
2019-06-20
Artificial synapse with hafnium oxide-based ferroelectric layer in CMOS front-end
Grant 10,319,818 - Frank , et al.
2019-06-11
Field effect transistor stack with tunable work function
Grant 10,312,157 - Bao , et al.
2019-06-04
Protection of high-K dielectric during reliability anneal on nanosheet structures
Grant 10,304,936 - Loubet , et al.
2019-05-28
Uniform threshold voltage for nanosheet devices
Grant 10,297,671 - Bao , et al.
2019-05-21
Formation of full metal gate to suppress interficial layer growth
Grant 10,297,598 - Bao , et al.
2019-05-21
Binary metal oxide based interlayer for high mobility channels
Grant 10,283,610 - Narayanan , et al.
2019-05-07
Artificial Synapse with Hafnium Oxide-Based Ferroelectric Layer in CMOS Back-End
App 20190131383 - Frank; Martin M. ;   et al.
2019-05-02
Artificial Synapse with Hafnium Oxide-Based Ferroelectric Layer in CMOS Front-End
App 20190131407 - Frank; Martin M. ;   et al.
2019-05-02
Conformal Replacement Gate Electrode For Short Channel Devices
App 20190131418 - Ando; Takashi ;   et al.
2019-05-02
Resistive switching memory stack for three-dimensional structure
Grant 10,270,029 - Ando , et al.
2019-04-23
High-k gate dielectric and metal gate conductor stack for fin-type field effect transistors formed on type III-V semiconductor material and silicon germanium semiconductor material
Grant 10,262,999 - Ando , et al.
2019-04-16
Dual channel CMOS having common gate stacks
Grant 10,249,540 - Ando , et al.
2019-04-02
Field effect transistor stack with tunable work function
Grant 10,249,543 - Bao , et al.
2019-04-02
Shared metal gate stack with tunable work function
Grant 10,243,055 - Bao , et al.
2019-03-26
Dual channel CMOS having common gate stacks
Grant 10,229,856 - Ando , et al.
2019-03-12
Tapered Metal Nitride Structure
App 20190067413 - Frank; Martin M. ;   et al.
2019-02-28
Binary metal oxide based interlayer for high mobility channels
Grant 10,217,834 - Narayanan , et al. Feb
2019-02-26
High-K gate dielectric and metal gate conductor stack for fin-type field effect transistors formed on type III-V semiconductor material and silicon germanium semiconductor material
Grant 10,217,745 - Ando , et al. Feb
2019-02-26
Binary metal oxide based interlayer for high mobility channels
Grant 10,217,835 - Narayanan , et al. Feb
2019-02-26
Shared Metal Gate Stack With Tunable Work Function
App 20190027572 - Bao; Ruqiang ;   et al.
2019-01-24
Method for making a dipole-based contact structure to reduce the metal-semiconductor contact resistance in MOSFETs
Grant 10,153,201 - Bu , et al. Dec
2018-12-11
Uniform Threshold Voltage For Nanosheet Devices
App 20180350935 - Bao; Ruqiang ;   et al.
2018-12-06
Tapered metal nitride structure
Grant 10,147,782 - Frank , et al. De
2018-12-04
Dual Channel Cmos Having Common Gate Stacks
App 20180337097 - Ando; Takashi ;   et al.
2018-11-22
Dual Channel Cmos Having Common Gate Stacks
App 20180337098 - Ando; Takashi ;   et al.
2018-11-22
Field Effect Transistor Gate Stack
App 20180330996 - Bao; Ruqiang ;   et al.
2018-11-15
Self-aligned Metal Gate With Poly Silicide For Vertical Transport Field-effect Transistors
App 20180331101 - Anderson; Brent A. ;   et al.
2018-11-15
Patterned Gate Dielectrics For Iii-v-based Cmos Circuits
App 20180308844 - Ando; Takashi ;   et al.
2018-10-25
Patterned Gate Dielectrics For Iii-v-based Cmos Circuits
App 20180308845 - Ando; Takashi ;   et al.
2018-10-25
Surface Area Enhancement For Stacked Metal-insulator-metal (mim) Capacitor
App 20180277623 - Ando; Takashi ;   et al.
2018-09-27
Surface Area Enhancement For Stacked Metal-insulator-metal (mim) Capacitor
App 20180277621 - Ando; Takashi ;   et al.
2018-09-27
Structure And Method For Multiple Threshold Voltage Definition In Advanced Cmos Device Technology
App 20180277540 - Jagannathan; Hemanth ;   et al.
2018-09-27
Uniform threshold voltage for nanosheet devices
Grant 10,084,055 - Bao , et al. September 25, 2
2018-09-25
Field effect transistor gate stack
Grant 10,079,182 - Bao , et al. September 18, 2
2018-09-18
Patterned gate dielectrics for III-V-based CMOS circuits
Grant 10,062,694 - Ando , et al. August 28, 2
2018-08-28
Patterned gate dielectrics for III-V-based CMOS circuits
Grant 10,062,693 - Ando , et al. August 28, 2
2018-08-28
High-k Gate Dielectric And Metal Gate Conductor Stack For Fin-type Field Effect Transistors Formed On Type Iii-v Semiconductor Material And Silicon Germanium Semiconductor Material
App 20180240799 - Ando; Takashi ;   et al.
2018-08-23
Formation Of Pure Silicon Oxide Interfacial Layer On Silicon-germanium Channel Field Effect Transistor Device
App 20180233370 - Ando; Takashi ;   et al.
2018-08-16
Formation Of Pure Silicon Oxide Interfacial Layer On Silicon-germanium Channel Field Effect Transistor Device
App 20180233369 - Ando; Takashi ;   et al.
2018-08-16
Uniform Threshold Voltage For Nanosheet Devices
App 20180226484 - Bao; Ruqiang ;   et al.
2018-08-09
Resistive Switching Memory Stack For Three-dimensional Structure
App 20180219155 - Ando; Takashi ;   et al.
2018-08-02
Formation Of Full Metal Gate To Suppress Interficial Layer Growth
App 20180204839 - BAO; RUQIANG ;   et al.
2018-07-19
Interoperable machine learning platform
Grant 10,026,041 - Narayanan , et al. July 17, 2
2018-07-17
Method To Improve Reliability Of Replacement Gate Device
App 20180197972 - Ando; Takashi ;   et al.
2018-07-12
High-resistance Memory Devices
App 20180197917 - Ando; Takashi ;   et al.
2018-07-12
Shared Metal Gate Stack With Tunable Work Function
App 20180190784 - Bao; Ruqiang ;   et al.
2018-07-05
Encryption Engine With An Undetectable/tamper-proof Private Key In Late Node Cmos Technology
App 20180181774 - Boivie; Richard H. ;   et al.
2018-06-28
Formation of pure silicon oxide interfacial layer on silicon-germanium channel field effect transistor device
Grant 10,008,386 - Ando , et al. June 26, 2
2018-06-26
Binary Metal Oxide Based Interlayer For High Mobility Channels
App 20180175156 - Narayanan; Vijay ;   et al.
2018-06-21
Shared metal gate stack with tunable work function
Grant 10,002,937 - Bao , et al. June 19, 2
2018-06-19
High-K gate dielectric and metal gate conductor stack for fin-type field effect transistors formed on type III-V semiconductor material and silicon germanium semiconductor material
Grant 10,002,871 - Ando , et al. June 19, 2
2018-06-19
Dual channel structures with multiple threshold voltages
Grant 9,997,519 - Bao , et al. June 12, 2
2018-06-12
Selective and conformal passivation layer for 3D high-mobility channel devices
Grant 9,984,940 - Chu , et al. May 29, 2
2018-05-29
Combined reactive gas species for high-mobility channel passivation
Grant 9,984,870 - Ando , et al. May 29, 2
2018-05-29
Resistive switching memory stack for three-dimensional structure
Grant 9,985,206 - Ando , et al. May 29, 2
2018-05-29
Method to improve reliability of replacement gate device
Grant 9,972,697 - Ando , et al. May 15, 2
2018-05-15
Binary metal oxide based interlayer for high mobility channels
Grant 9,972,695 - Narayanan , et al. May 15, 2
2018-05-15
Method to improve reliability of replacement gate device
Grant 9,960,252 - Ando , et al. May 1, 2
2018-05-01
Expitaxially regrown heterostructure nanowire lateral tunnel field effect transistor
Grant 9,960,233 - Krishnan , et al. May 1, 2
2018-05-01
Integrated metal gate CMOS devices
Grant 9,941,282 - Bao , et al. April 10, 2
2018-04-10
Ferroelectric Gate Dielectric With Scaled Interfacial Layer For Steep Sub-threshold Slope Field-effect Transistor
App 20180090591 - Ando; Takashi ;   et al.
2018-03-29
Integrated Metal Gate Cmos Devices
App 20180090381 - BAO; Ruqiang ;   et al.
2018-03-29
Formation Of Pure Silicon Oxide Interfacial Layer On Silicon-germanium Channel Field Effect Transistor Device
App 20180076040 - Ando; Takashi ;   et al.
2018-03-15
Trench metal insulator metal capacitor with oxygen gettering layer
Grant 9,911,597 - Ando , et al. March 6, 2
2018-03-06
Integrated metal gate CMOS devices
Grant 9,899,264 - Bao , et al. February 20, 2
2018-02-20
Field Effect Transistor Stack With Tunable Work Function
App 20180047640 - Bao; Ruqiang ;   et al.
2018-02-15
Field Effect Transistor Stack With Tunable Work Function
App 20180047639 - Bao; Ruqiang ;   et al.
2018-02-15
Binary Metal Oxide Based Interlayer For High Mobility Channels
App 20180040708 - Narayanan; Vijay ;   et al.
2018-02-08
Binary Metal Oxide Based Interlayer For High Mobility Channels
App 20180040709 - Narayanan; Vijay ;   et al.
2018-02-08
Binary Metal Oxide Based Interlayer For High Mobility Channels
App 20180040710 - Narayanan; Vijay ;   et al.
2018-02-08
Tapered Metal Nitride Structure
App 20180019299 - Frank; Martin M. ;   et al.
2018-01-18
Combined Reactive Gas Species For High-mobility Channel Passivation
App 20180005821 - Ando; Takashi ;   et al.
2018-01-04
Integrated Metal Gate Cmos Devices
App 20180006033 - Bao; Ruqiang ;   et al.
2018-01-04
Integrated Metal Gate Cmos Devices
App 20180005891 - Bao; Ruqiang ;   et al.
2018-01-04
Stacked Capacitor With Symmetric Leakage And Break-down Behaviors
App 20180006108 - Ando; Takashi ;   et al.
2018-01-04
High-k gate dielectric and metal gate conductor stack for fin-type field effect transistors formed on type III-V semiconductor material and silicon germanium semiconductor material
Grant 9,859,279 - Ando , et al. January 2, 2
2018-01-02
Field effect transistor stack with tunable work function
Grant 9,859,169 - Bao , et al. January 2, 2
2018-01-02
Shared Metal Gate Stack With Tunable Work Function
App 20170358655 - Bao; Ruqiang ;   et al.
2017-12-14
High-k Gate Dielectric And Metal Gate Conductor Stack For Fin-type Field Effect Transistors Formed On Type Iii-v Semiconductor Material And Silicon Germanium Semiconductor Material
App 20170358579 - Ando; Takashi ;   et al.
2017-12-14
Protection Of High-k Dielectric During Reliability Anneal On Nanosheet Structures
App 20170323949 - Loubet; Nicolas J. ;   et al.
2017-11-09
Distinct Gate Stacks For Iii-v-based Cmos Circuits Comprising A Channel Cap
App 20170316979 - Ando; Takashi ;   et al.
2017-11-02
Distinct Gate Stacks For Iii-v-based Cmos Circuits Comprising A Channel Cap
App 20170309519 - Ando; Takashi ;   et al.
2017-10-26
Structures And Methods For Equivalent Oxide Thickness Scaling On Silicon Germanium Channel Or Iii-v Channel Of Semiconductor Device
App 20170309487 - Ando; Takashi ;   et al.
2017-10-26
Structures And Methods For Equivalent Oxide Thickness Scaling On Silicon Germanium Channel Or Iii-v Channel Of Semiconductor Device
App 20170309723 - Ando; Takashi ;   et al.
2017-10-26
Semiconductor device having a gate stack with tunable work function
Grant 9,799,656 - Bao , et al. October 24, 2
2017-10-24
Ferroelectric gate dielectric with scaled interfacial layer for steep sub-threshold slope field-effect transistor
Grant 9,793,397 - Ando , et al. October 17, 2
2017-10-17
Patterned Gate Dielectrics For Iii-v-based Cmos Circuits
App 20170271334 - Ando; Takashi ;   et al.
2017-09-21
Expitaxially Regrown Heterostructure Nanowire Lateral Tunnel Field Effect Transistor
App 20170263707 - Krishnan; Siddarth A. ;   et al.
2017-09-14
Trench Metal Insulator Metal Capacitor With Oxygen Gettering Layer
App 20170250073 - Ando; Takashi ;   et al.
2017-08-31
Semiconductor Devices With Varying Threshold Voltage And Fabrication Methods Thereof
App 20170250117 - KANNAN; Balaji ;   et al.
2017-08-31
Semiconductor devices with varying threshold voltage and fabrication methods thereof
Grant 9,748,145 - Kannan , et al. August 29, 2
2017-08-29
Patterned Gate Dielectrics For Iii-v-based Cmos Circuits
App 20170243867 - Ando; Takashi ;   et al.
2017-08-24
Distinct Gate Stacks For Iii-v-based Cmos Circuits Comprising A Channel Cap
App 20170243789 - Ando; Takashi ;   et al.
2017-08-24
High-k Gate Dielectric And Metal Gate Conductor Stack For Fin-type Field Effect Transistors Formed On Type Iii-v Semiconductor Material And Silicon Germanium Semiconductor Material
App 20170221898 - Ando; Takashi ;   et al.
2017-08-03
Semiconductor Device Having A Gate Stack With Tunable Work Function
App 20170207219 - Bao; Ruqiang ;   et al.
2017-07-20
Field Effect Transistor Gate Stack
App 20170207132 - Bao; Ruqiang ;   et al.
2017-07-20
Field Effect Transistor Stack With Tunable Work Function
App 20170207131 - Bao; Ruqiang ;   et al.
2017-07-20
Lowering parasitic capacitance of replacement metal gate processes
Grant 9,685,521 - Leobandung , et al. June 20, 2
2017-06-20
Expitaxially regrown heterostructure nanowire lateral tunnel field effect transistor
Grant 9,660,027 - Krishnan , et al. May 23, 2
2017-05-23
Trench metal-insulator-metal capacitor with oxygen gettering layer
Grant 9,653,534 - Ando , et al. May 16, 2
2017-05-16
Advanced Mosfet Contact Structure To Reduce Metal-semiconductor Interface Resistance
App 20170133265 - Bu; Huiming ;   et al.
2017-05-11
Tailored silicon layers for transistor multi-gate control
Grant 9,646,887 - Narayanan , et al. May 9, 2
2017-05-09
Tailored silicon layers for transistor multi-gate control
Grant 9,646,886 - Narayanan , et al. May 9, 2
2017-05-09
Method to improve reliability of high-K metal gate stacks
Grant 9,634,116 - Ando , et al. April 25, 2
2017-04-25
Expitaxially Regrown Heterostructure Nanowire Lateral Tunnel Field Effect Transistor
App 20170110539 - Krishnan; Siddarth A. ;   et al.
2017-04-20
Replacement channel TFET
Grant 9,627,508 - Chudzik , et al. April 18, 2
2017-04-18
Activated Thin Silicon Layers
App 20170092725 - Ando; Takashi ;   et al.
2017-03-30
Activated Thin Silicon Layers
App 20170092501 - Ando; Takashi ;   et al.
2017-03-30
High-k Spacer For Extension-free Cmos Devices With High Mobility Channel Materials
App 20170092723 - Ando; Takashi ;   et al.
2017-03-30
High-K spacer for extension-free CMOS devices with high mobility channel materials
Grant 9,608,066 - Ando , et al. March 28, 2
2017-03-28
Dipole-based contact structure to reduce metal-semiconductor contact resistance in MOSFETs
Grant 9,589,851 - Bu , et al. March 7, 2
2017-03-07
Semiconductor devices containing an epitaxial perovskite/doped strontium titanate structure
Grant 9,590,100 - Dubourdieu , et al. March 7, 2
2017-03-07
Gate stack with tunable work function
Grant 9,583,400 - Bao , et al. February 28, 2
2017-02-28
High-k Gate Dielectric And Metal Gate Conductor Stack For Fin-type Field Effect Transistors Formed On Type Iii-v Semiconductor Material And Silicon Germanium Semiconductor Material
App 20170053915 - Ando; Takashi ;   et al.
2017-02-23
Changing effective work function using ion implantation during dual work function metal gate integration
Grant 9,564,505 - Chudzik , et al. February 7, 2
2017-02-07
Semiconductor device having a gate stack with tunable work function
Grant 9,559,016 - Bao , et al. January 31, 2
2017-01-31
Dipole-Based Contact Structure to Reduce Metal-Semiconductor Contact Resistance in MOSFETs
App 20170018463 - Bu; Huiming ;   et al.
2017-01-19
Method and structure for III-V nanowire tunnel FETs
Grant 9,548,381 - Krishnan , et al. January 17, 2
2017-01-17
Method To Improve Reliability Of Replacement Gate Device
App 20170005179 - ANDO; TAKASHI ;   et al.
2017-01-05
Method To Improve Reliability Of Replacement Gate Device
App 20160380076 - Ando; Takashi ;   et al.
2016-12-29
Method to improve reliability of replacement gate device
Grant 9,484,438 - Ando , et al. November 1, 2
2016-11-01
Replacement Channel Tfet
App 20160308025 - Chudzik; Michael P. ;   et al.
2016-10-20
Method to improve reliability of replacement gate device
Grant 9,472,643 - Ando , et al. October 18, 2
2016-10-18
High-K gate dielectric and metal gate conductor stack for planar field effect transistors formed on type III-V semiconductor material and silicon germanium semiconductor material
Grant 9,472,553 - Ando , et al. October 18, 2
2016-10-18
Low threshold voltage CMOS device
Grant 9,455,203 - Ando , et al. September 27, 2
2016-09-27
Method of forming replacement gate PFET having TiALCO layer for improved NBTI performance
Grant 9,449,887 - Ando , et al. September 20, 2
2016-09-20
Sacrificial silicon germanium channel for inversion oxide thickness scaling with mitigated work function roll-off and improved negative bias temperature instability
Grant 9,443,953 - Ando , et al. September 13, 2
2016-09-13
Multi-composition gate dielectric field effect transistors
Grant 9,397,175 - Alptekin , et al. July 19, 2
2016-07-19
Methods of forming multi-Vt III-V TFET devices
Grant 9,397,199 - Kwon , et al. July 19, 2
2016-07-19
Method to improve reliability of replacement gate device
Grant 9,391,164 - Ando , et al. July 12, 2
2016-07-12
Method To Improve Reliability Of High-k Metal Gate Stacks
App 20160181397 - Ando; Takashi ;   et al.
2016-06-23
Trench Metal-insulator-metal Capacitor With Oxygen Gettering Layer
App 20160181353 - Ando; Takashi ;   et al.
2016-06-23
Multiple thickness gate dielectrics for replacement gate field effect transistors
Grant 9,368,593 - Kwon , et al. June 14, 2
2016-06-14
Replacement Gate Pfet Materials Having Improved Nbti Performance
App 20160163814 - Ando; Takashi ;   et al.
2016-06-09
High-K gate dielectric and metal gate conductor stack for planar field effect transistors formed on type III-V semiconductor material and silicon germanium semiconductor material
Grant 9,362,282 - Ando , et al. June 7, 2
2016-06-07
Easy Deployment Of Machine Learning Models
App 20160148115 - Sirosh; Joseph ;   et al.
2016-05-26
Sacrificial silicon germanium channel for inversion oxide thickness scaling with mitigated work function roll-off and improved negative bias temperature instability
Grant 9,349,832 - Ando , et al. May 24, 2
2016-05-24
Semiconductor Devices Containing An Epitaxial Perovskite/doped Strontium Titanate Structure
App 20160133753 - Dubourdieu; Catherine A. ;   et al.
2016-05-12
Low Threshold Voltage Cmos Device
App 20160126145 - Ando; Takashi ;   et al.
2016-05-05
Lowering Parasitic Capacitance Of Replacement Metal Gate Processes
App 20160111512 - Leobandung; Effendi ;   et al.
2016-04-21
Semiconductor devices containing an epitaxial perovskite/doped strontium titanate structure
Grant 9,299,799 - Dubourdieu , et al. March 29, 2
2016-03-29
Method to improve reliability of high-K metal gate stacks
Grant 9,299,802 - Ando , et al. March 29, 2
2016-03-29
High-k/metal gate transistor with L-shaped gate encapsulation layer
Grant 9,263,276 - Mo , et al. February 16, 2
2016-02-16
Low threshold voltage CMOS device
Grant 9,263,344 - Ando , et al. February 16, 2
2016-02-16
Lowering parasitic capacitance of replacement metal gate processes
Grant 9,257,289 - Leobandung , et al. February 9, 2
2016-02-09
Multi-composition Gate Dielectric Field Effect Transistors
App 20160035841 - Alptekin; Emre ;   et al.
2016-02-04
High-k/metal gate transistor with L-shaped gate encapsulation layer
Grant 9,252,018 - Mo , et al. February 2, 2
2016-02-02
Inversion thickness reduction in high-k gate stacks formed by replacement gate processes
Grant 9,252,229 - Ando , et al. February 2, 2
2016-02-02
Multiple Thickness Gate Dielectrics For Replacement Gate Field Effect Transistors
App 20160027893 - Kwon; Unoh ;   et al.
2016-01-28
Interoperable Machine Learning Platform
App 20160012350 - Narayanan; Vijay ;   et al.
2016-01-14
Adaptive Featurization As A Service
App 20160012318 - Bilenko; Mikhail ;   et al.
2016-01-14
High-K/metal gate stack using capping layer methods, IC and related transistors
Grant 9,236,314 - Chudzik , et al. January 12, 2
2016-01-12
Multi-composition gate dielectric field effect transistors
Grant 9,231,072 - Alptekin , et al. January 5, 2
2016-01-05
Multiple thickness gate dielectrics for replacement gate field effect transistors
Grant 9,224,826 - Kwon , et al. December 29, 2
2015-12-29
Semiconductor Contact With Diffusion-controlled In Situ Insulator Formation
App 20150371952 - Breil; Nicolas L. ;   et al.
2015-12-24
Semiconductor Devices Containing An Epitaxial Perovskite/doped Strontium Titanate Structure
App 20150357429 - Dubourdieu; Catherine A. ;   et al.
2015-12-10
Oxygen scavenging spacer for a gate electrode
Grant 9,196,707 - Chudzik , et al. November 24, 2
2015-11-24
Annealing oxide gate dielectric layers for replacement metal gate field effect transistors
Grant 9,177,868 - Kwon , et al. November 3, 2
2015-11-03
Structure And Method To Obtain Eot Scaled Dielectric Stacks
App 20150311303 - Jagannathan; Hemanth ;   et al.
2015-10-29
Structure And Method To Obtain Eot Scaled Dielectric Stacks
App 20150311127 - Jagannathan; Hemanth ;   et al.
2015-10-29
Structure And Method To Obtain Eot Scaled Dielectric Stacks
App 20150279937 - Jagannathan; Hemanth ;   et al.
2015-10-01
Annealing Oxide Gate Dielectric Layers For Replacement Metal Gate Field Effect Transistors
App 20150279744 - Kwon; Unoh ;   et al.
2015-10-01
Structure And Method To Obtain Eot Scaled Dielectric Stacks
App 20150279746 - Jagannathan; Hemanth ;   et al.
2015-10-01
Semiconductor Contact With Diffusion-controlled In Situ Insulator Formation
App 20150270168 - Breil; Nicolas L. ;   et al.
2015-09-24
Lowering Parasitic Capacitance Of Replacement Metal Gate Processes
App 20150255294 - Leobandung; Effendi ;   et al.
2015-09-10
Enabling Enhanced Reliability And Mobility For Replacement Gate Planar And Finfet Structures
App 20150249015 - Ando; Takashi ;   et al.
2015-09-03
Method To Improve Reliability Of Replacement Gate Device
App 20150243762 - Ando; Takashi ;   et al.
2015-08-27
Low Threshold Voltage and Inversion Oxide Thickness Scaling for a High-K Metal Gate P-Type MOSFET
App 20150243662 - Ando; Takashi ;   et al.
2015-08-27
Method To Improve Reliability Of Replacement Gate Device
App 20150243761 - Ando; Takashi ;   et al.
2015-08-27
Method To Improve Reliability Of Replacement Gate Device
App 20150236135 - Ando; Takashi ;   et al.
2015-08-20
Enabling Enhanced Reliability And Mobility For Replacement Gate Planar And Finfet Structures
App 20150228749 - Ando; Takashi ;   et al.
2015-08-13
Multiple Thickness Gate Dielectrics For Replacement Gate Field Effect Transistors
App 20150228747 - Kwon; Unoh ;   et al.
2015-08-13
Multi-composition Gate Dielectric Field Effect Transistors
App 20150228748 - Alptekin; Emre ;   et al.
2015-08-13
Fabrication of low threshold voltage and inversion oxide thickness scaling for a high-k metal gate p-type MOSFET
Grant 9,105,745 - Ando , et al. August 11, 2
2015-08-11
Enabling enhanced reliability and mobility for replacement gate planar and FinFET structures
Grant 9,099,393 - Ando , et al. August 4, 2
2015-08-04
Engineering Multiple Threshold Voltages In An Integrated Circuit
App 20150214323 - Dubourdieu; Catherine Anne ;   et al.
2015-07-30
Oxygen scavenging spacer for a gate electrode
Grant 9,059,211 - Chudzik , et al. June 16, 2
2015-06-16
Structure and method to obtain EOT scaled dielectric stacks
Grant 9,059,314 - Jagannathan , et al. June 16, 2
2015-06-16
Low Threshold Voltage Cmos Device
App 20150147876 - Ando; Takashi ;   et al.
2015-05-28
Engineering multiple threshold voltages in an integrated circuit
Grant 9,041,082 - Dubourdieu , et al. May 26, 2
2015-05-26
Replacement metal gate structure for CMOS device
Grant 9,041,118 - Ando , et al. May 26, 2
2015-05-26
Method To Improve Reliability Of Replacement Gate Device
App 20150126020 - Ando; Takashi ;   et al.
2015-05-07
Method to improve reliability of replacement gate device
Grant 8,999,831 - Ando , et al. April 7, 2
2015-04-07
Replacement Metal Gate Structure For Cmos Device
App 20150054087 - Ando; Takashi ;   et al.
2015-02-26
Enabling Enhanced Reliability And Mobility For Replacement Gate Planar And Finfet Structures
App 20150035073 - Ando; Takashi ;   et al.
2015-02-05
Low threshold voltage CMOS device
Grant 8,941,184 - Ando , et al. January 27, 2
2015-01-27
Scaled equivalent oxide thickness for field effect transistor devices
Grant 8,940,599 - Ando , et al. January 27, 2
2015-01-27
Intrinsic Channel Planar Field Effect Transistors Having Multiple Threshold Voltages
App 20150021698 - Ando; Takashi ;   et al.
2015-01-22
FIN Field Effect Transistors Having Multiple Threshold Voltages
App 20150021699 - Ando; Takashi ;   et al.
2015-01-22
Replacement metal gate structure for CMOS device
Grant 8,895,434 - Ando , et al. November 25, 2
2014-11-25
Multiple Vt field-effect transistor devices
Grant 8,878,298 - Chang , et al. November 4, 2
2014-11-04
Reducing the inversion oxide thickness of a high-k stack fabricated on high mobility semiconductor material
Grant 8,865,551 - Ando , et al. October 21, 2
2014-10-21
Reducing the inversion oxide thickness of a high-K stack fabricated on high mobility semiconductor material
Grant 8,853,751 - Ando , et al. October 7, 2
2014-10-07
Control of threshold voltages in high-k metal gate stack and structures for CMOS devices
Grant 8,835,260 - Jagannathan , et al. September 16, 2
2014-09-16
Field effect transistor device having a hybrid metal gate stack
Grant 8,836,048 - Cabral, Jr. , et al. September 16, 2
2014-09-16
Changing Effective Work Function Using Ion Implantation During Dual Work Function Metal Gate Integration
App 20140225199 - Chudzik; Michael P. ;   et al.
2014-08-14
Devices and methods to optimize materials and properties for replacement metal gate structures
Grant 8,796,784 - Ando , et al. August 5, 2
2014-08-05
Ferroelectric semiconductor transistor devices having gate modulated conductive layer
Grant 8,785,995 - Dubourdieu , et al. July 22, 2
2014-07-22
Gate-last fabrication of quarter-gap MGHK FET
Grant 8,786,030 - Ando , et al. July 22, 2
2014-07-22
Devices and methods to optimize materials and properties for replacement metal gate structures
Grant 8,785,322 - Ando , et al. July 22, 2
2014-07-22
Scaled Equivalent Oxide Thickness For Field Effect Transistor Devices
App 20140199828 - Ando; Takashi ;   et al.
2014-07-17
Changing effective work function using ion implantation during dual work function metal gate integration
Grant 8,753,936 - Chudzik , et al. June 17, 2
2014-06-17
Epitaxial source/drain contacts self-aligned to gates for deposited FET channels
Grant 8,754,403 - Chang , et al. June 17, 2
2014-06-17
Finfet Hybrid Full Metal Gate With Borderless Contacts
App 20140162447 - Edge; Lisa F. ;   et al.
2014-06-12
Control of flatband voltages and threshold voltages in high-k metal gate stacks and structures for CMOS devices
Grant 8,748,991 - Jagannathan , et al. June 10, 2
2014-06-10
Reliable physical unclonable function for device authentication
Grant 8,741,713 - Bruley , et al. June 3, 2
2014-06-03
FET device with stabilized threshold modifying material
Grant 8,735,243 - Copel , et al. May 27, 2
2014-05-27
Scavenging metal stack for a high-K gate dielectric
Grant 8,735,996 - Ando , et al. May 27, 2
2014-05-27
Method To Improve Reliability Of Replacement Gate Device
App 20140141598 - Ando; Takashi ;   et al.
2014-05-22
Replacement Metal Gate Structure For Cmos Device
App 20140131809 - Ando; Takashi ;   et al.
2014-05-15
Structures and techniques for atomic layer deposition
Grant 8,722,548 - Aoyama , et al. May 13, 2
2014-05-13
Scavenging metal stack for a high-K gate dielectric
Grant 8,716,088 - Ando , et al. May 6, 2
2014-05-06
Scaled equivalent oxide thickness for field effect transistor devices
Grant 8,716,813 - Ando , et al. May 6, 2
2014-05-06
Replacement gate structure for transistor with a high-K gate stack
Grant 8,716,118 - Ando , et al. May 6, 2
2014-05-06
Method to Improve Reliability of High-k Metal Gate Stacks
App 20140120707 - Ando; Takashi ;   et al.
2014-05-01
Replacement Gate With An Inner Dielectric Spacer
App 20140103404 - Li; Ying ;   et al.
2014-04-17
Field Effect Transistor Device Having A Hybrid Metal Gate Stack
App 20140106531 - Cabral, Jr.; Cyril ;   et al.
2014-04-17
Field Effect Transistor Device Having A Hybrid Metal Gate Stack
App 20140103457 - Cabral, JR.; Cyril ;   et al.
2014-04-17
Control of flatband voltages and threshold voltages in high-k metal gate stacks and structures for CMOS devices
Grant 8,680,629 - Jagannathan , et al. March 25, 2
2014-03-25
Techniques for enabling multiple V.sub.t devices using high-K metal gate stacks
Grant 8,680,623 - Frank , et al. March 25, 2
2014-03-25
Oxygen Scavenging Spacer For A Gate Electrode
App 20140065783 - Chudzik; Michael P. ;   et al.
2014-03-06
Method and apparatus for flatband voltage tuning of high-k field effect transistors
Grant 8,658,501 - Guha , et al. February 25, 2
2014-02-25
Reliable Physical Unclonable Function For Device Authentication
App 20140042442 - BRULEY; JOHN ;   et al.
2014-02-13
Integrated Circuit Device Including Low Resistivity Tungsten And Methods Of Fabrication
App 20140024208 - FRANK; MARTIN M. ;   et al.
2014-01-23
Integrated Circuit Device Including Low Resistivity Tungsten And Methods Of Fabrication
App 20140021470 - FRANK; MARTIN M. ;   et al.
2014-01-23
Scavenging Metal Stack For A High-k Gate Dielectric
App 20140004695 - Ando; Takashi ;   et al.
2014-01-02
Reducing The Inversion Oxide Thickness Of A High-k Stack Fabricated On High Mobility Semiconductor Material
App 20140001516 - ANDO; Takashi ;   et al.
2014-01-02
Scavenging Metal Stack For A High-k Gate Dielectric
App 20140001573 - Ando; Takashi ;   et al.
2014-01-02
Reducing The Inversion Oxide Thickness Of A High-k Stack Fabricated On High Mobility Semiconductor Material
App 20140004674 - ANDO; Takashi ;   et al.
2014-01-02
Gate-last fabrication of quarter-gap MGHK FET
Grant 8,592,296 - Ando , et al. November 26, 2
2013-11-26
Metal gate CMOS with at least a single gate metal and dual gate dielectrics
Grant 8,569,844 - Doris , et al. October 29, 2
2013-10-29
Self-limiting oxygen seal for high-K dielectric and design structure
Grant 8,564,074 - Hook , et al. October 22, 2
2013-10-22
Replacement Gate With Reduced Gate Leakage Current
App 20130260549 - Jagannathan; Hemanth ;   et al.
2013-10-03
Replacement Gate With Reduced Gate Leakage Current
App 20130256802 - Jagannathan; Hemanth ;   et al.
2013-10-03
Method of forming switching device having a molybdenum oxynitride metal gate
Grant 8,518,766 - Bojarczuk , et al. August 27, 2
2013-08-27
Epitaxial source/drain contacts self-aligned to gates for deposited FET channels
Grant 8,513,099 - Chang , et al. August 20, 2
2013-08-20
High-K metal gate CMOS
Grant 8,507,992 - Mo , et al. August 13, 2
2013-08-13
Replacement Gate Structure For Transistor With A High-k Gate Stack
App 20130175630 - ANDO; Takashi ;   et al.
2013-07-11
Low Threshold Voltage Cmos Device
App 20130154019 - Ando; Takashi ;   et al.
2013-06-20
Structure And Method For Reduction Of Vt-w Effect In High-k Metal Gate Devices
App 20130140670 - Aquilino; Michael V. ;   et al.
2013-06-06
Self-limiting Oxygen Seal For High-k Dielectric, Related Method And Design Structure
App 20130134545 - Hook; Terence B. ;   et al.
2013-05-30
Replacement gate devices with barrier metal for simultaneous processing
Grant 8,420,473 - Ando , et al. April 16, 2
2013-04-16
Field-effect transistor device having a metal gate stack with an oxygen barrier layer
Grant 8,415,677 - Adusumilli , et al. April 9, 2
2013-04-09
Oxygen Scavenging Spacer For A Gate Electrode
App 20130082337 - Chudzik; Michael P. ;   et al.
2013-04-04
Low Threshold Voltage And Inversion Oxide Thickness Scaling For A High-K Metal Gate P-Type MOSFET
App 20130032886 - Ando; Takashi ;   et al.
2013-02-07
Mosfet Gate Electrode Employing Arsenic-doped Silicon-germanium Alloy Layer
App 20130032897 - Narayanan; Vijay ;   et al.
2013-02-07
Low Threshold Voltage And Inversion Oxide thickness Scaling For A High-K Metal Gate P-Type MOSFET
App 20130034940 - ANDO; Takashi ;   et al.
2013-02-07
Replacement Metal Gate With A Conductive Metal Oxynitride Layer
App 20130009257 - Ando; Takashi ;   et al.
2013-01-10
Structure And Method To Obtain Eot Scaled Dielectric Stacks
App 20130005156 - Jagannathan; Hemanth ;   et al.
2013-01-03
Large-grain, Low-resistivity Tungsten On A Conductive Compound
App 20120326314 - Brown; Stephen L. ;   et al.
2012-12-27
Inversion Thickness Reduction In High-k Gate Stacks Formed By Replacement Gate Processes
App 20120326245 - Ando; Takashi ;   et al.
2012-12-27
Devices And Methods To Optimize Materials And Properties For Replacement Metal Gate Structures
App 20120326216 - Ando; Takashi ;   et al.
2012-12-27
Gate-Last Fabrication of Quarter-Gap MGHK FET
App 20120299123 - Ando; Takashi ;   et al.
2012-11-29
High-k/metal Gate Transistor With L-shaped Gate Encapsulation Layer
App 20120299122 - MO; Renee T. ;   et al.
2012-11-29
Ferroelectric Semiconductor Transistor Devices Having Gate Modulated Conductive Layer
App 20120292677 - Dubourdieu; Catherine A. ;   et al.
2012-11-22
Epitaxial Source/drain Contacts Self-aligned To Gates For Deposited Fet Channels
App 20120292598 - Chang; Josephine B. ;   et al.
2012-11-22
Control Of Flatband Voltages And Threshold Voltages In High-k Metal Gate Stacks And Structures For Cmos Devices
App 20120286338 - Jagannathan; Hemanth ;   et al.
2012-11-15
Scaled Equivalent Oxide Thickness for Field Effect Transistor Devices
App 20120286363 - Ando; Takashi ;   et al.
2012-11-15
Inversion Thickness Reduction In High-k Gate Stacks Formed By Replacement Gate Processes
App 20120280288 - Ando; Takashi ;   et al.
2012-11-08
Control Of Threshold Voltages In High-k Metal Gate Stack And Structures For Cmos Devices
App 20120276720 - Jagannathan; Hemanth ;   et al.
2012-11-01
Switching Device Having A Molybdenum Oxynitride Metal Gate
App 20120270385 - Bojarczuk; Nestor A. ;   et al.
2012-10-25
Large-grain, Low-resistivity Tungsten On A Conductive Compound
App 20120228773 - Brown; Stephen L. ;   et al.
2012-09-13
Devices And Methods To Optimize Materials And Properties For Replacement Metal Gate Structures
App 20120193729 - ANDO; TAKASHI ;   et al.
2012-08-02
Structure And Method For Reduction Of Vt-w Effect In High-k Metal Gate Devices
App 20120187522 - Aquilino; Michael V. ;   et al.
2012-07-26
Techniques for Enabling Multiple Vt Devices Using High-K Metal Gate Stacks
App 20120181610 - Frank; Martin M. ;   et al.
2012-07-19
High-k/metal Gate Stack Using Capping Layer Methods, Ic And Related Transistors
App 20120184093 - Chudzik; Michael P. ;   et al.
2012-07-19
Multiple Vt Field-Effect Transistor Devices
App 20120175712 - Chang; Josephine B. ;   et al.
2012-07-12
Replacement Gate Devices With Barrier Metal For Simultaneous Processing
App 20120139053 - Ando; Takashi ;   et al.
2012-06-07
Structure And Method For Low Temperature Gate Stack For Advanced Substrates
App 20120139014 - Bedell; Stephen W. ;   et al.
2012-06-07
Engineering Multiple Threshold Voltages In An Integrated Circuit
App 20120086059 - Dubourdieu; Catherine Anne ;   et al.
2012-04-12
Structures And Techniques For Atomic Layer Deposition
App 20120074533 - Aoyama; Shintaro ;   et al.
2012-03-29
Gate-Last Fabrication of Quarter-Gap MGHK FET
App 20110309455 - Ando; Takashi ;   et al.
2011-12-22
Epitaxial Source/drain Contacts Self-aligned To Gates For Deposited Fet Channels
App 20110309332 - Chang; Josephine B. ;   et al.
2011-12-22
Scaled Equivalent Oxide Thickness for Field Effect Transistor Devices
App 20110291198 - Ando; Takashi ;   et al.
2011-12-01

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed