loadpatents
name:-0.20584583282471
name:-0.048701047897339
name:-0.01268196105957
Naik; Mehul Patent Filings

Naik; Mehul

Patent Applications and Registrations

Patent applications and USPTO patent grants for Naik; Mehul.The latest application filed is for "multi-step pre-clean for selective metal gap fill".

Company Profile
13.45.60
  • Naik; Mehul - San Jose CA
  • Naik; Mehul - Sunnyvale CA
*profile and listings may contain filings by different individuals or companies with the same name. Review application materials to confirm ownership/assignment.
Patent Activity
PatentDate
Multi-step Pre-clean For Selective Metal Gap Fill
App 20220270871 - Cen; Xi ;   et al.
2022-08-25
Fully aligned subtractive processes and electronic devices therefrom
Grant 11,410,885 - Ren , et al. August 9, 2
2022-08-09
Multi-step pre-clean for selective metal gap fill
Grant 11,380,536 - Cen , et al. July 5, 2
2022-07-05
Reverse Selective Etch Stop Layer
App 20220181204 - Kashefi; Kevin ;   et al.
2022-06-09
Methods And Devices For Subtractive Self-alignment
App 20220130676 - Ren; He ;   et al.
2022-04-28
Damage free metal conductor formation
Grant 11,289,342 - Ren , et al. March 29, 2
2022-03-29
Methods and devices for subtractive self-alignment
Grant 11,257,677 - Ren , et al. February 22, 2
2022-02-22
Methods and apparatuses for forming interconnection structures
Grant 11,205,589 - Ren , et al. December 21, 2
2021-12-21
Multi-Step Pre-Clean for Selective Metal Gap Fill
App 20210351032 - Cen; Xi ;   et al.
2021-11-11
Process integration approach for selective metal via fill
Grant 11,164,780 - You , et al. November 2, 2
2021-11-02
Method Of Dielectric Material Fill And Treatment
App 20210317580 - YOU; Shi ;   et al.
2021-10-14
Methods For Controllable Metal And Barrier-Liner Recess
App 20210305091 - Ren; He ;   et al.
2021-09-30
Methods And Devices For Subtractive Self-alignment
App 20210233770 - Ren; He ;   et al.
2021-07-29
Methods for controllable metal and barrier-liner recess
Grant 11,062,942 - Ren , et al. July 13, 2
2021-07-13
Method Of Forming Interconnect For Semiconductor Device
App 20210125864 - Jiang; Hao ;   et al.
2021-04-29
Methods And Apparatuses For Forming Interconnection Structures
App 20210104434 - Ren; He ;   et al.
2021-04-08
Methods And Apparatus For Cleaning Metal Contacts
App 20210066064 - REN; He ;   et al.
2021-03-04
Process Integration Approach For Selective Metal Via Fill
App 20200388533 - YOU; SHI ;   et al.
2020-12-10
Damage Free Metal Conductor Formation
App 20200350178 - Ren; He ;   et al.
2020-11-05
Fully Aligned Subtractive Processes And Electronic Devices Therefrom
App 20200350206 - Ren; He ;   et al.
2020-11-05
Methods for depositing dielectric barrier layers and aluminum containing etch stop layers
Grant 10,707,122 - Kesapragada , et al.
2020-07-07
Damage free metal conductor formation
Grant 10,685,849 - Ren , et al.
2020-06-16
Self-aligned interconnects formed using subtractive techniques
Grant 10,643,895 - Mebarki , et al.
2020-05-05
Physical Vapor Deposition Of Doped Transition Metal Oxide And Post-deposition Treatment Thereof For Non-volatile Memory Applicat
App 20200044152 - YU; MINRUI ;   et al.
2020-02-06
Method Of Forming Nickel Silicide Materials
App 20200024722 - YU; MINRUI ;   et al.
2020-01-23
Microwave anneal to improve CVD metal gap-fill and throughput
Grant 10,438,849 - Ren , et al. O
2019-10-08
Methods For Controllable Metal And Barrier-Liner Recess
App 20190198389 - Ren; He ;   et al.
2019-06-27
Methods for forming a metal silicide interconnection nanowire structure
Grant 10,204,764 - Mebarki , et al. Feb
2019-02-12
Methods For Depositing Dielectric Barrier Layers And Aluminum Containing Etch Stop Layers
App 20190027403 - KESAPRAGADA; Sree Rangasai V. ;   et al.
2019-01-24
Methods for depositing dielectric barrier layers and aluminum containing etch stop layers
Grant 10,109,520 - Kesapragada , et al. October 23, 2
2018-10-23
Methods for producing interconnects in semiconductor devices
Grant 10,062,607 - Emesh , et al. August 28, 2
2018-08-28
Self-aligned Interconnects Formed Using Subtractive Techniques
App 20170372960 - Mebarki; Bencherki ;   et al.
2017-12-28
Methods for forming 2-dimensional self-aligned vias
Grant 9,847,252 - Mebarki , et al. December 19, 2
2017-12-19
Protective via cap for improved interconnect performance
Grant 9,847,289 - Naik , et al. December 19, 2
2017-12-19
Microwave Anneal To Improve Cvd Metal Gap-fill And Throughput
App 20170309515 - Ren; He ;   et al.
2017-10-26
Methods For Forming 2-dimensional Self-aligned Vias
App 20170294348 - MEBARKI; Bencherki ;   et al.
2017-10-12
Self-aligned interconnects formed using substractive techniques
Grant 9,761,489 - Mebarki , et al. September 12, 2
2017-09-12
Cobalt resistance recovery by hydrogen anneal
Grant 9,711,397 - Bekiaris , et al. July 18, 2
2017-07-18
Methods For Depositing Dielectric Barrier Layers And Aluminum Containing Etch Stop Layers
App 20170098575 - KESAPRAGADA; Sree Rangasai V. ;   et al.
2017-04-06
Methods For Producing Interconnects In Semiconductor Devices
App 20170047249 - Emesh; Ismail T. ;   et al.
2017-02-16
Cobalt resistance recovery by hydrogen anneal
Grant 9,570,345 - Bekiaris , et al. February 14, 2
2017-02-14
Methods for barrier layer removal
Grant 9,514,953 - Kao , et al. December 6, 2
2016-12-06
Methods for forming an interconnect pattern on a substrate
Grant 9,437,479 - Parikh , et al. September 6, 2
2016-09-06
Methods for producing interconnects in semiconductor devices
Grant 9,425,092 - Emesh , et al. August 23, 2
2016-08-23
Methods For Forming A Metal Silicide Interconnection Nanowire Structure
App 20160118260 - MEBARKI; Bencherki ;   et al.
2016-04-28
Ultra-thin structure to protect copper and method of preparation
Grant 9,257,330 - Chatterjee , et al. February 9, 2
2016-02-09
Protective Via Cap For Improved Interconnect Performance
App 20150348902 - Naik; Mehul ;   et al.
2015-12-03
Ultra-thin Structure To Protect Copper And Method Of Preparation
App 20150147879 - Chatterjee; Amit ;   et al.
2015-05-28
Methods For Barrier Layer Removal
App 20150140827 - KAO; Chia-Ling ;   et al.
2015-05-21
Methods For Forming An Interconnect Pattern On A Substrate
App 20150140805 - PARIKH; Suketu A. ;   et al.
2015-05-21
Self-aligned interconnects formed using substractive techniques
App 20150056800 - Mebarki; Bencherki ;   et al.
2015-02-26
Methods For Producing Interconnects In Semiconductor Devices
App 20140287577 - Emesh; Ismail T. ;   et al.
2014-09-25
Silicon nitride passivation layer for covering high aspect ratio features
Grant 8,563,095 - Rajagopalan , et al. October 22, 2
2013-10-22
Fabrication of through-silicon vias on silicon wafers
Grant 8,329,575 - Rajagopalan , et al. December 11, 2
2012-12-11
Fabrication of through-silicon vias on silicon wafers
Grant 8,283,237 - Rajagopalan , et al. October 9, 2
2012-10-09
Fabrication Of Through-silicon Vias On Silicon Wafers
App 20120164827 - RAJAGOPALAN; Nagarajan ;   et al.
2012-06-28
Fabrication Of Through-silicon Vias On Silicon Wafers
App 20120164829 - Rajagopalan; Nagarajan ;   et al.
2012-06-28
Method Of Processing Low K Dielectric Films
App 20120122320 - Lakshmanan; Annamalai ;   et al.
2012-05-17
Self Aligned Triple Patterning
App 20120085733 - Mebarki; Bencherki ;   et al.
2012-04-12
Post-ash Sidewall Healing
App 20120009796 - Cui; Zhenjiang ;   et al.
2012-01-12
Restoring low dielectric constant film properties
Grant 8,058,183 - Cui , et al. November 15, 2
2011-11-15
Silicon Nitride Passivation Layer For Covering High Aspect Ratio Features
App 20110223765 - RAJAGOPALAN; Nagarajan ;   et al.
2011-09-15
Plasma Surface Treatment To Prevent Pattern Collapse In Immersion Lithography
App 20110111604 - Kim; Eui Kyoon ;   et al.
2011-05-12
Methods And Apparatus Of Creating Airgap In Dielectric Layers For The Reduction Of Rc Delay
App 20110104891 - AL-BAYATI; AMIR ;   et al.
2011-05-05
Air gap interconnects using carbon-based films
Grant 7,928,003 - Naik April 19, 2
2011-04-19
Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
Grant 7,879,683 - Al-Bayati , et al. February 1, 2
2011-02-01
Air gap formation and integration using a patterning cap
Grant 7,811,924 - Cui , et al. October 12, 2
2010-10-12
Air Gap Interconnects Using Carbon-based Films
App 20100093168 - NAIK; MEHUL
2010-04-15
Restoring Low Dielectric Constant Film Properties
App 20090317971 - Cui; Zhenjiang ;   et al.
2009-12-24
Methods And Appartus To Prevent Contamination Of A Photoresist Layer On A Substrate
App 20090317628 - Naik; Mehul ;   et al.
2009-12-24
Air Gap Formation And Integration Using A Patterning Cap
App 20090309230 - CUI; ZHENJIANG ;   et al.
2009-12-17
Dual damascene fabrication with low k materials
Grant 7,618,889 - Naik November 17, 2
2009-11-17
Etch depth control for dual damascene fabrication process
Grant 7,572,734 - Naik , et al. August 11, 2
2009-08-11
Plasma Surface Treatment To Prevent Pattern Collapse In Immersion Lithography
App 20090104541 - Kim; Eui Kyoon ;   et al.
2009-04-23
Methods And Apparatus Of Creating Airgap In Dielectric Layers For The Reduction Of Rc Delay
App 20090093112 - AL-BAYATI; AMIR ;   et al.
2009-04-09
Scheme for copper filling in vias and trenches
App 20080124924 - Naik; Mehul
2008-05-29
Etch Depth Control For Dual Damascene Fabrication Process
App 20080102638 - NAIK; MEHUL ;   et al.
2008-05-01
Dual damascene fabrication with low k materials
App 20080020570 - Naik; Mehul
2008-01-24
Selective etching of organosilicate films over silicon oxide stop etch layers
Grant 7,244,672 - Nguyen , et al. July 17, 2
2007-07-17
Selective metal encapsulation schemes
Grant 7,205,228 - Padhi , et al. April 17, 2
2007-04-17
Integrated circuit fabricating techniques employing sacrificial liners
App 20070082477 - Naik; Mehul ;   et al.
2007-04-12
Selective etching of organosilicate films over silicon oxide stop etch layers
Grant 7,183,201 - Nguyen , et al. February 27, 2
2007-02-27
Integrated equipment set for forming a low K dielectric interconnect on a substrate
App 20060246683 - Pan; Judon Tony ;   et al.
2006-11-02
Dielectric materials to prevent photoresist poisoning
Grant 7,115,534 - Nguyen , et al. October 3, 2
2006-10-03
Method of eliminating photoresist poisoning in damascene applications
App 20060205206 - Xu; Ping ;   et al.
2006-09-14
Method of eliminating photoresist poisoning in damascene applications
Grant 7,034,409 - Xu , et al. April 25, 2
2006-04-25
Selective etching of organosilicate films over silicon oxide stop etch layers
App 20050255697 - Nguyen, Huong Thanh ;   et al.
2005-11-17
Dielectric materials to prevent photoresist poisoning
App 20050014361 - Nguyen, Son Van ;   et al.
2005-01-20
Selective metal encapsulation schemes
App 20040248409 - Padhi, Deenesh ;   et al.
2004-12-09
Method of eliminating photoresist poisoning in damascene applications
App 20040106278 - Xu, Ping ;   et al.
2004-06-03
Integrated equipment set for forming a low K dielectric interconnect on a substrate
App 20040007325 - Pan, Judon Tony ;   et al.
2004-01-15
Method of eliminating photoresist poisoning in damascene applications
Grant 6,656,837 - Xu , et al. December 2, 2
2003-12-02
Method of eliminating photoresist poisoning in damascene applications
App 20030077916 - Xu, Ping ;   et al.
2003-04-24
Method of producing an interconnect structure for an integrated circuit
Grant 6,548,396 - Naik , et al. April 15, 2
2003-04-15
Selective etching of organosilicate films over silicon oxide stop etch layers
App 20030017694 - Nguyen, Huong Thanh ;   et al.
2003-01-23
Apparatus for integrating low dielectric constant materials into a multilevel metallization and interconnect structure
App 20030001264 - Naik, Mehul
2003-01-02
Single step process for blanket-selective CVD aluminum deposition
Grant 6,458,684 - Guo , et al. October 1, 2
2002-10-01
Single step process for blanket-selective cvd aluminum deposition
App 20020068427 - Guo, Ted ;   et al.
2002-06-06
Method of producing an interconnect structure for an integrated circuit
App 20020048929 - Naik, Mehul ;   et al.
2002-04-25
Method of producing an interconnect structure for an integrated circuit
Grant 6,245,662 - Naik , et al. June 12, 2
2001-06-12
Etching an oxidized organo-silane film
Grant 6,168,726 - Li , et al. January 2, 2
2001-01-02
Single step process for blanket-selective CVD aluminum deposition
Grant 6,077,781 - Guo , et al. June 20, 2
2000-06-20
Method and apparatus for integrating low dielectric constant materials into a multilevel metallization and interconnect structure
Grant 6,054,380 - Naik April 25, 2
2000-04-25

uspto.report is an independent third-party trademark research tool that is not affiliated, endorsed, or sponsored by the United States Patent and Trademark Office (USPTO) or any other governmental organization. The information provided by uspto.report is based on publicly available data at the time of writing and is intended for informational purposes only.

While we strive to provide accurate and up-to-date information, we do not guarantee the accuracy, completeness, reliability, or suitability of the information displayed on this site. The use of this site is at your own risk. Any reliance you place on such information is therefore strictly at your own risk.

All official trademark data, including owner information, should be verified by visiting the official USPTO website at www.uspto.gov. This site is not intended to replace professional legal advice and should not be used as a substitute for consulting with a legal professional who is knowledgeable about trademark law.

© 2024 USPTO.report | Privacy Policy | Resources | RSS Feed of Trademarks | Trademark Filings Twitter Feed